OpenCores
URL https://opencores.org/ocsvn/cxd9731/cxd9731/trunk

Subversion Repositories cxd9731

[/] [cxd9731/] [testbench01.v] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 regttycomi
`timescale 1ns / 1ps
2
 
3
////////////////////////////////////////////////////////////////////////////////
4
// Company: 
5
// Engineer:
6
//
7
// Create Date:   22:29:17 05/05/2010
8
// Design Name:   chip
9
// Module Name:   D:/usr/kk/PROJECT/NADP05/chip/remote_sources/chip.prg/testbench01.v
10
// Project Name:  chip.prg
11
// Target Device:  
12
// Tool versions:  
13
// Description: 
14
//
15
// Verilog Test Fixture created by ISE for module: chip
16
//
17
// Dependencies:
18
// 
19
// Revision:
20
// Revision 0.01 - File Created
21
// Additional Comments:
22
// 
23
////////////////////////////////////////////////////////////////////////////////
24
 
25
module testbench01;
26
 
27
        // Inputs
28
        reg iIRQ;
29
        reg iDQ;
30
        reg iRdy;
31
        reg ibDASP;
32
        reg CLKin;
33
        reg bCSRST;
34
        reg bCRST;
35
        reg bcCS;
36
        reg bcWr;
37
        reg bcRd;
38
        reg bCRT;
39
        reg cDK;
40
        reg ACS_LED;
41
        reg HDD_ACK;
42
        reg TFSENSE;
43
        reg JT_bTest;
44
 
45
        // Outputs
46
        wire [2:0] iA;
47
        wire ibCS0;
48
        wire ibCS1;
49
        wire ibRST;
50
        wire ibWr;
51
        wire ibRd;
52
        wire ibDK;
53
        wire bcWait;
54
        wire bcIRQ;
55
        wire cDQ;
56
        wire DR245;
57
        wire OE245;
58
        wire TFCLK;
59
        wire TFCMD;
60
        wire JT_Result;
61
        wire JT_Pin1;
62
 
63
        // Bidirs
64
        tri [15:0] iD;
65
        tri [15:0] cDP;
66
        tri [15:0] cAP;
67
        wire TFD0;
68
        wire TFD1;
69
        wire TFD2;
70
        wire TFD3;
71
        reg cAP_Ctrl;                   // 0 means bus write into chip
72
        reg cDP_Ctrl;                   // 0 means bus write into chip
73
        reg iD_Ctrl;                    // 0 means bus write into chip
74
        reg [15:0] cAPi;
75
        reg [15:0] cDPi;
76
        reg [15:0] iDi;
77
 
78
        // Instantiate the Unit Under Test (UUT)
79
        chip uut (
80
                .iD(iD),
81
                .iA(iA),
82
                .ibCS0(ibCS0),
83
                .ibCS1(ibCS1),
84
                .ibRST(ibRST),
85
                .iIRQ(iIRQ),
86
                .ibWr(ibWr),
87
                .ibRd(ibRd),
88
                .iDQ(iDQ),
89
                .ibDK(ibDK),
90
                .iRdy(iRdy),
91
                .ibDASP(ibDASP),
92
                .CLKin(CLKin),
93
                .bCSRST(bCSRST),
94
                .bCRST(bCRST),
95
                .cDP(cDP),
96
                .cAP(cAP),
97
                .bcCS(bcCS),
98
                .bcWr(bcWr),
99
                .bcRd(bcRd),
100
                .bCRT(bCRT),
101
                .bcWait(bcWait),
102
                .bcIRQ(bcIRQ),
103
                .cDQ(cDQ),
104
                .cDK(cDK),
105
                .DR245(DR245),
106
                .OE245(OE245),
107
                .ACS_LED(ACS_LED),
108
                .HDD_ACK(HDD_ACK),
109
                .TFD0(TFD0),
110
                .TFD1(TFD1),
111
                .TFD2(TFD2),
112
                .TFD3(TFD3),
113
                .TFCLK(TFCLK),
114
                .TFSENSE(TFSENSE),
115
                .TFCMD(TFCMD),
116
                .JT_Result(JT_Result),
117
                .JT_Pin1(JT_Pin1),
118
                .JT_bTest(JT_bTest)
119
        );
120
 
121
        //wire [15:0] cAPo;
122
        assign  cAP  =(cAP_Ctrl ==0) ? cAPi : 16'hZZZZ;
123
        //assign        cAPo =(cAP_Ctrl ==1) ? cAP  : 16'hZZZZ;
124
        assign  cDP  =(cDP_Ctrl ==0) ? cDPi : 16'hZZZZ;
125
        assign  iD   =( iD_Ctrl ==0) ? iDi  : 16'hZZZZ;
126
 
127
 
128
        // ////////////////
129
        // Create the clock
130
        // ////////////////
131
        initial begin
132
                CLKin =1'b1;
133
        // Wait till Global Reset Finished, then cycle clock
134
                #140 forever #14 CLKin =~CLKin;
135
        end
136
 
137
        initial begin
138
                // Initialize Inputs
139
                cAP_Ctrl =0;
140
                cDP_Ctrl =0;
141
                iD_Ctrl =0;
142
                iIRQ =0;
143
                iDQ =0;
144
                iRdy =0;
145
                ibDASP =0;
146
                CLKin =0;
147
                bCSRST =0;
148
                bCRST =0;
149
                bcCS =0;
150
                bcWr =0;
151
                bcRd =0;
152
                bCRT =0;
153
                cDK =0;
154
                ACS_LED =0;
155
                HDD_ACK =0;
156
                TFSENSE =0;
157
                JT_bTest =0;                     // set low to show input result
158
 
159
                // Wait 100 ns for global reset to finish
160
                #100;
161
 
162
                // Add stimulus here
163
                #33;                                    // make transaction not on edge
164
                #180;
165
                cAPi[15:0]       =16'h0000;
166
                cDPi[15:0]       =16'hZZZZ;
167
                iDi[15:0]        =16'hZZZZ;
168
                iIRQ    =1'b0;
169
                iDQ     =1'b0;
170
                iRdy    =1'b1;
171
                ibDASP  =1'b1;
172
                bcCS    =1'b1;
173
                bcWr    =1'b1;
174
                bcRd    =1'b1;
175
                bCRT    =1'b1;
176
                cDK     =1'b0;
177
                ACS_LED =1'b1;
178
                HDD_ACK =1'b1;
179
 
180
                #280;           // #20 clocks
181
                bCSRST  =1'b1;
182
                bCRST   =1'b1;
183
                #8400;          // allow 300 clock for everything to setup
184
                // Finish reset pattern
185
                // Read Register 002A(0000)
186
                #112;   cAPi[15:0] =16'h002A;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;
187
                        cAP_Ctrl =0;             cDP_Ctrl =1;            iD_Ctrl =0;
188
                #14;    bcCS    =1'b0;
189
                #7;     bCRT    =1'b0;
190
                #7;     bcRd    =1'b0;          bcWr    =1'b1;
191
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
192
                #7;     bCRT    =1'b1;
193
                #7;     bcCS    =1'b1;
194
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
195
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;
196
                // Finish Read Register 002A            
197
                // Write Register 002A(0000)
198
                #112;   cAPi[15:0] =16'h002A;    cDPi[15:0] =16'h0000;    iDi[15:0] =16'hZZZZ;
199
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;
200
                #14;    bcCS    =1'b0;
201
                #7;     bCRT    =1'b1;
202
                #7;     bcRd    =1'b1;          bcWr    =1'b0;
203
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
204
                #7;     bCRT    =1'b1;
205
                #7;     bcCS    =1'b1;
206
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
207
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
208
                // Finish Write Register 002A(0000)             
209
                // Read Register 0004(000B)
210
                #112;   cAPi[15:0] =16'h0004;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;
211
                        cAP_Ctrl =0;             cDP_Ctrl =1;            iD_Ctrl =0;
212
                #14;    bcCS    =1'b0;
213
                #7;     bCRT    =1'b0;
214
                #7;     bcRd    =1'b0;          bcWr    =1'b1;
215
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
216
                #7;     bCRT    =1'b1;
217
                #7;     bcCS    =1'b1;
218
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
219
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external
220
                // Finish Read Register 002A            
221
                // Read Register 000E(0002)
222
                #112;   cAPi[15:0] =16'h000E;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;
223
                        cAP_Ctrl =0;             cDP_Ctrl =1;            iD_Ctrl =0;
224
                #14;    bcCS    =1'b0;
225
                #7;     bCRT    =1'b0;
226
                #7;     bcRd    =1'b0;          bcWr    =1'b1;
227
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
228
                #7;     bCRT    =1'b1;
229
                #7;     bcCS    =1'b1;
230
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
231
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external
232
                // Finish Read Register 000E(0002)              
233
                // Write Register 0070(0092)
234
                #112;   cAPi[15:0] =16'h0070;    cDPi[15:0] =16'h0092;    iDi[15:0] =16'hZZZZ;
235
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;
236
                #14;    bcCS    =1'b0;
237
                #7;     bCRT    =1'b1;
238
                #7;     bcRd    =1'b1;          bcWr    =1'b0;
239
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
240
                #7;     bCRT    =1'b1;
241
                #7;     bcCS    =1'b1;
242
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
243
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
244
                // Finish Write Register 0070(0092)             
245
                // Write Register 0064(0080)
246
                #112;   cAPi[15:0] =16'h0064;    cDPi[15:0] =16'h0080;    iDi[15:0] =16'hZZZZ;
247
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;
248
                #14;    bcCS    =1'b0;
249
                #14;    bcCS    =1'b0;
250
                #7;     bCRT    =1'b1;
251
                #7;     bcRd    =1'b1;          bcWr    =1'b0;
252
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
253
                #7;     bCRT    =1'b1;
254
                #7;     bcCS    =1'b1;
255
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
256
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
257
                // Finish Write Register 0064(0080)             
258
                // Write Register 0064(0048)
259
                #112;   cAPi[15:0] =16'h0064;    cDPi[15:0] =16'h0048;    iDi[15:0] =16'hZZZZ;
260
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;
261
                #14;    bcCS    =1'b0;
262
                #14;    bcCS    =1'b0;
263
                #7;     bCRT    =1'b1;
264
                #7;     bcRd    =1'b1;          bcWr    =1'b0;
265
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
266
                #7;     bCRT    =1'b1;
267
                #7;     bcCS    =1'b1;
268
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
269
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
270
                // Finish Write Register 0064(0048)             
271
                // Read Register 005C(1234)
272
                #112;   cAPi[15:0] =16'h005C;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'h1234;
273
                        cAP_Ctrl =0;             cDP_Ctrl =1;            iD_Ctrl =0;
274
                #14;    bcCS    =1'b0;
275
                #7;     bCRT    =1'b0;
276
                #7;     bcRd    =1'b0;          bcWr    =1'b1;
277
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
278
                #7;     bCRT    =1'b1;
279
                #7;     bcCS    =1'b1;
280
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
281
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external
282
                // Finish Read Register 005C(1234)              
283
                // Write Register 0042(ABCD)
284
                #112;   cAPi[15:0] =16'h0042;    cDPi[15:0] =16'hABCD;    iDi[15:0] =16'hZZZZ;
285
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
286
                #14;    bcCS    =1'b0;
287
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
288
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
289
                #14;    bcCS    =1'b1;
290
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
291
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
292
                // Finish Write Register 0042(ABCD)
293
 
294
                ibDASP  =1'b1;
295
 
296
                // Write Register 0038(0003)
297
                #112;   cAPi[15:0] =16'h0038;    cDPi[15:0] =16'h0003;    iDi[15:0] =16'hZZZZ;
298
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
299
                #14;    bcCS    =1'b0;
300
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
301
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
302
                #14;    bcCS    =1'b1;
303
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
304
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
305
                // Finish Write Register 0038(0003)
306
 
307
                #392;   ibDASP  =1'b0;
308
                #392;   ibDASP  =1'b1;
309
 
310
                // Write Register 0038(0003)
311
                #112;   cAPi[15:0] =16'h0038;    cDPi[15:0] =16'h0003;    iDi[15:0] =16'hZZZZ;
312
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
313
                #14;    bcCS    =1'b0;
314
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
315
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
316
                #14;    bcCS    =1'b1;
317
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
318
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
319
                // Finish Write Register 0038(0003)
320
                // Read Register 0028(????)
321
                #112;   cAPi[15:0] =16'h0028;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'h1234;
322
                        cAP_Ctrl =0;             cDP_Ctrl =1;            iD_Ctrl =0;
323
                #14;    bcCS    =1'b0;
324
                #7;     bCRT    =1'b0;
325
                #7;     bcRd    =1'b0;          bcWr    =1'b1;
326
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
327
                #7;     bCRT    =1'b1;
328
                #7;     bcCS    =1'b1;
329
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
330
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external
331
                // Finish Read Register 0028(????)              
332
 
333
                #392;   ibDASP  =1'b0;
334
                #392;   ibDASP  =1'b1;
335
 
336
                // Read Register 0038(????)
337
                #112;   cAPi[15:0] =16'h0038;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'h1234;
338
                        cAP_Ctrl =0;             cDP_Ctrl =1;            iD_Ctrl =0;
339
                #14;    bcCS    =1'b0;
340
                #14;    bcCS    =1'b0;
341
                #7;     bCRT    =1'b0;
342
                #7;     bcRd    =1'b0;          bcWr    =1'b1;
343
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
344
                #7;     bCRT    =1'b1;
345
                #7;     bcCS    =1'b1;
346
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
347
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external
348
                // Finish Read Register 0038(????)              
349
                # 280; // #280 ns
350
 
351
 
352
                // Check for PS2 DMA Write to IDE
353
 
354
                // Write Register 0038(0003)
355
                #112;   cAPi[15:0] =16'h0038;    cDPi[15:0] =16'h0003;    iDi[15:0] =16'hZZZZ;
356
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
357
                #14;    bcCS    =1'b0;
358
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
359
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
360
                #14;    bcCS    =1'b1;
361
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
362
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
363
                // Finish Write Register 0038(0003)
364
 
365
                // Write Register 0064(004F)
366
                #112;   cAPi[15:0] =16'h0064;    cDPi[15:0] =16'h004F;    iDi[15:0] =16'hZZZZ;
367
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
368
                #14;    bcCS    =1'b0;
369
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
370
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
371
                #14;    bcCS    =1'b1;
372
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
373
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
374
                // Finish Write Register
375
 
376
                // ////- ====set ultraDMA mode UDMA mode 2
377
                // Write Register 0042(0003)
378
                #112;   cAPi[15:0] =16'h0042;    cDPi[15:0] =16'h0003;    iDi[15:0] =16'hZZZZ;
379
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
380
                #14;    bcCS    =1'b0;
381
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
382
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
383
                #14;    bcCS    =1'b1;
384
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
385
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
386
                // Finish Write Register
387
 
388
                // Write Register 0044(0044)
389
                #112;   cAPi[15:0] =16'h0044;    cDPi[15:0] =16'h0044;    iDi[15:0] =16'hZZZZ;
390
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
391
                #14;    bcCS    =1'b0;
392
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
393
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
394
                #14;    bcCS    =1'b1;
395
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
396
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
397
                // Finish Write Register
398
 
399
                // Write Register 004E(00EF)
400
                #112;   cAPi[15:0] =16'h004E;    cDPi[15:0] =16'h00EF;    iDi[15:0] =16'hZZZZ;
401
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
402
                #14;    bcCS    =1'b0;
403
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
404
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
405
                #14;    bcCS    =1'b1;
406
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
407
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
408
                // Finish Write Register
409
 
410
                // Write Register 0032(0087)
411
                #112;   cAPi[15:0] =16'h0032;    cDPi[15:0] =16'h0087;    iDi[15:0] =16'hZZZZ;
412
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
413
                #14;    bcCS    =1'b0;
414
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
415
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
416
                #14;    bcCS    =1'b1;
417
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
418
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
419
                // Finish Write Register
420
 
421
                // Write Register 0044(0001)
422
                #112;   cAPi[15:0] =16'h0044;    cDPi[15:0] =16'h0001;    iDi[15:0] =16'hZZZZ;
423
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
424
                #14;    bcCS    =1'b0;
425
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
426
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
427
                #14;    bcCS    =1'b1;
428
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
429
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
430
                // Finish Write Register
431
 
432
                // Write Register 004E(00CA)
433
                #112;   cAPi[15:0] =16'h004E;    cDPi[15:0] =16'h00CA;    iDi[15:0] =16'hZZZZ;
434
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
435
                #14;    bcCS    =1'b0;
436
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
437
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
438
                #14;    bcCS    =1'b1;
439
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
440
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
441
                // Finish Write Register
442
 
443
                // Write Register 0024(0006)
444
                #112;   cAPi[15:0] =16'h0024;    cDPi[15:0] =16'h0006;    iDi[15:0] =16'hZZZZ;
445
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
446
                #14;    bcCS    =1'b0;
447
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
448
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
449
                #14;    bcCS    =1'b1;
450
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
451
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
452
                // Finish Write Register
453
 
454
                // Write Register 002E(0001)
455
                #112;   cAPi[15:0] =16'h002E;    cDPi[15:0] =16'h0001;    iDi[15:0] =16'hZZZZ;
456
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
457
                #14;    bcCS    =1'b0;
458
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
459
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
460
                #14;    bcCS    =1'b1;
461
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
462
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
463
                // Finish Write Register
464
 
465
                // Write Register 002E(0000)
466
                #112;   cAPi[15:0] =16'h002E;    cDPi[15:0] =16'h0000;    iDi[15:0] =16'hZZZZ;
467
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =1;
468
                #14;    bcCS    =1'b0;
469
                #14;    bcRd    =1'b1;          bcWr    =1'b0;
470
                #196;   bcRd    =1'b1;          bcWr    =1'b1;
471
                #14;    bcCS    =1'b1;
472
                #14;    cAPi[15:0] =16'hZZZZ;    cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ;     // all bus idle
473
                        cAP_Ctrl =0;             cDP_Ctrl =0;             iD_Ctrl =0;              // all bus driven by external   
474
                // Finish Write Register
475
 
476
                // //- TEST CHANNEL
477
// First DMA data burst
478
                #128;   cDK     =1'b1;
479
                #70;    bcWr    =1'b0;
480
                #14;    cAPi[15:0]       =16'hC001;      cDPi[15:0]       =16'hC000;
481
                #28;    cAPi[15:0]       =16'hC003;      cDPi[15:0]       =16'hC002;
482
                #28;    cAPi[15:0]       =16'hC005;      cDPi[15:0]       =16'hC004;
483
                #28;    cAPi[15:0]       =16'hC007;      cDPi[15:0]       =16'hC006;
484
                #14;    bcWr    =1'b1;                          // stop to test the restart mechanism
485
                #84;    bcWr    =1'b0;
486
                #14;    cAPi[15:0]       =16'hC009;      cDPi[15:0]       =16'hC008;
487
                #28;    cAPi[15:0]       =16'hC00B;      cDPi[15:0]       =16'hC00A;
488
                #28;    cAPi[15:0]       =16'hC00D;      cDPi[15:0]       =16'hC00C;
489
                #28;    cAPi[15:0]       =16'hC00F;      cDPi[15:0]       =16'hC00E;
490
                #28;    cAPi[15:0]       =16'hC011;      cDPi[15:0]       =16'hC010;
491
                #28;    cAPi[15:0]       =16'hC013;      cDPi[15:0]       =16'hC012;
492
                #28;    cAPi[15:0]       =16'hC015;      cDPi[15:0]       =16'hC014;
493
                #28;    cAPi[15:0]       =16'hC017;      cDPi[15:0]       =16'hC016;
494
                #28;    cAPi[15:0]       =16'hC019;      cDPi[15:0]       =16'hC018;
495
                #28;    cAPi[15:0]       =16'hC01B;      cDPi[15:0]       =16'hC01A;
496
                #28;    cAPi[15:0]       =16'hC01D;      cDPi[15:0]       =16'hC01C;
497
                #28;    cAPi[15:0]       =16'hC01F;      cDPi[15:0]       =16'hC01E;
498
                #28;    cAPi[15:0]       =16'hC021;      cDPi[15:0]       =16'hC020;
499
                #28;    cAPi[15:0]       =16'hC023;      cDPi[15:0]       =16'hC022;
500
                #28;    cAPi[15:0]       =16'hC025;      cDPi[15:0]       =16'hC024;
501
                #28;    cAPi[15:0]       =16'hC027;      cDPi[15:0]       =16'hC026;
502
                #28;    cAPi[15:0]       =16'hC029;      cDPi[15:0]       =16'hC028;
503
                #28;    cAPi[15:0]       =16'hC02B;      cDPi[15:0]       =16'hC02A;
504
                #28;    cAPi[15:0]       =16'hC02D;      cDPi[15:0]       =16'hC02C;
505
                #28;    cAPi[15:0]       =16'hC02F;      cDPi[15:0]       =16'hC02E;
506
                #28;    cAPi[15:0]       =16'hC031;      cDPi[15:0]       =16'hC030;
507
                #28;    cAPi[15:0]       =16'hC033;      cDPi[15:0]       =16'hC032;
508
                #28;    cAPi[15:0]       =16'hC035;      cDPi[15:0]       =16'hC034;
509
                #28;    cAPi[15:0]       =16'hC037;      cDPi[15:0]       =16'hC036;
510
                #28;    cAPi[15:0]       =16'hC039;      cDPi[15:0]       =16'hC038;
511
                #28;    cAPi[15:0]       =16'hC03B;      cDPi[15:0]       =16'hC03A;
512
                #28;    cAPi[15:0]       =16'hC03D;      cDPi[15:0]       =16'hC03C;
513
                #28;    cAPi[15:0]       =16'hC03F;      cDPi[15:0]       =16'hC03E;
514
                #14;    bcWr    =1'b1;
515
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
516
                #84;    cDK     =1'b0;          // now a complete cycle
517
////- End of data burst
518
////- 2nd data burst
519
                #168;
520
                #28;    cDK     =1'b1;
521
                #70;    bcWr    =1'b0;
522
                #14;    cAPi[15:0]       =16'hC041;      cDPi[15:0]       =16'hC040;
523
                #28;    cAPi[15:0]       =16'hC043;      cDPi[15:0]       =16'hC042;
524
                #28;    cAPi[15:0]       =16'hC045;      cDPi[15:0]       =16'hC044;
525
                #28;    cAPi[15:0]       =16'hC047;      cDPi[15:0]       =16'hC046;
526
                #28;    cAPi[15:0]       =16'hC049;      cDPi[15:0]       =16'hC048;
527
                #28;    cAPi[15:0]       =16'hC04B;      cDPi[15:0]       =16'hC04A;
528
                #28;    cAPi[15:0]       =16'hC04D;      cDPi[15:0]       =16'hC04C;
529
                #28;    cAPi[15:0]       =16'hC04F;      cDPi[15:0]       =16'hC04E;
530
                #28;    cAPi[15:0]       =16'hC051;      cDPi[15:0]       =16'hC050;
531
                #28;    cAPi[15:0]       =16'hC053;      cDPi[15:0]       =16'hC052;
532
                #28;    cAPi[15:0]       =16'hC055;      cDPi[15:0]       =16'hC054;
533
                #28;    cAPi[15:0]       =16'hC057;      cDPi[15:0]       =16'hC056;
534
                #28;    cAPi[15:0]       =16'hC059;      cDPi[15:0]       =16'hC058;
535
                #28;    cAPi[15:0]       =16'hC05B;      cDPi[15:0]       =16'hC05A;
536
                #28;    cAPi[15:0]       =16'hC05D;      cDPi[15:0]       =16'hC05C;
537
                #28;    cAPi[15:0]       =16'hC05F;      cDPi[15:0]       =16'hC05E;
538
                #28;    cAPi[15:0]       =16'hC061;      cDPi[15:0]       =16'hC060;
539
                #28;    cAPi[15:0]       =16'hC063;      cDPi[15:0]       =16'hC062;
540
                #28;    cAPi[15:0]       =16'hC065;      cDPi[15:0]       =16'hC064;
541
                #28;    cAPi[15:0]       =16'hC067;      cDPi[15:0]       =16'hC066;
542
                #28;    cAPi[15:0]       =16'hC069;      cDPi[15:0]       =16'hC068;
543
                #28;    cAPi[15:0]       =16'hC06B;      cDPi[15:0]       =16'hC06A;
544
                #28;    cAPi[15:0]       =16'hC06D;      cDPi[15:0]       =16'hC06C;
545
                #28;    cAPi[15:0]       =16'hC06F;      cDPi[15:0]       =16'hC06E;
546
                #28;    cAPi[15:0]       =16'hC071;      cDPi[15:0]       =16'hC070;
547
                #28;    cAPi[15:0]       =16'hC073;      cDPi[15:0]       =16'hC072;
548
                #28;    cAPi[15:0]       =16'hC075;      cDPi[15:0]       =16'hC074;
549
                #28;    cAPi[15:0]       =16'hC077;      cDPi[15:0]       =16'hC076;
550
                #28;    cAPi[15:0]       =16'hC079;      cDPi[15:0]       =16'hC078;
551
                #28;    cAPi[15:0]       =16'hC07B;      cDPi[15:0]       =16'hC07A;
552
                #28;    cAPi[15:0]       =16'hC07D;      cDPi[15:0]       =16'hC07C;
553
                #28;    cAPi[15:0]       =16'hC07F;      cDPi[15:0]       =16'hC07E;
554
                #14;    bcWr    =1'b1;
555
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
556
                #84;    cDK     =1'b0;          // now a complete cycle
557
////- end of 2nd data burst
558
////- 3RD data burst
559
                #168;
560
                #28;    cDK     =1'b1;
561
                #70;    bcWr    =1'b0;
562
                #14;    cAPi[15:0]       =16'hC081;      cDPi[15:0]       =16'hC080;
563
                #28;    cAPi[15:0]       =16'hC083;      cDPi[15:0]       =16'hC082;
564
                #28;    cAPi[15:0]       =16'hC085;      cDPi[15:0]       =16'hC084;
565
                #28;    cAPi[15:0]       =16'hC087;      cDPi[15:0]       =16'hC086;
566
                #28;    cAPi[15:0]       =16'hC089;      cDPi[15:0]       =16'hC088;
567
                #28;    cAPi[15:0]       =16'hC08B;      cDPi[15:0]       =16'hC08A;
568
                #28;    cAPi[15:0]       =16'hC08D;      cDPi[15:0]       =16'hC08C;
569
                #28;    cAPi[15:0]       =16'hC08F;      cDPi[15:0]       =16'hC08E;
570
                #28;    cAPi[15:0]       =16'hC091;      cDPi[15:0]       =16'hC090;
571
                #28;    cAPi[15:0]       =16'hC093;      cDPi[15:0]       =16'hC092;
572
                #28;    cAPi[15:0]       =16'hC095;      cDPi[15:0]       =16'hC094;
573
                #28;    cAPi[15:0]       =16'hC097;      cDPi[15:0]       =16'hC096;
574
                #28;    cAPi[15:0]       =16'hC099;      cDPi[15:0]       =16'hC098;
575
                #28;    cAPi[15:0]       =16'hC09B;      cDPi[15:0]       =16'hC09A;
576
                #28;    cAPi[15:0]       =16'hC09D;      cDPi[15:0]       =16'hC09C;
577
                #28;    cAPi[15:0]       =16'hC09F;      cDPi[15:0]       =16'hC09E;
578
                #28;    cAPi[15:0]       =16'hC0A1;      cDPi[15:0]       =16'hC0A0;
579
                #28;    cAPi[15:0]       =16'hC0A3;      cDPi[15:0]       =16'hC0A2;
580
                #28;    cAPi[15:0]       =16'hC0A5;      cDPi[15:0]       =16'hC0A4;
581
                #28;    cAPi[15:0]       =16'hC0A7;      cDPi[15:0]       =16'hC0A6;
582
                #28;    cAPi[15:0]       =16'hC0A9;      cDPi[15:0]       =16'hC0A8;
583
                #28;    cAPi[15:0]       =16'hC0AB;      cDPi[15:0]       =16'hC0AA;
584
                #28;    cAPi[15:0]       =16'hC0AD;      cDPi[15:0]       =16'hC0AC;
585
                #28;    cAPi[15:0]       =16'hC0AF;      cDPi[15:0]       =16'hC0AE;
586
                #28;    cAPi[15:0]       =16'hC0B1;      cDPi[15:0]       =16'hC0B0;
587
                #28;    cAPi[15:0]       =16'hC0B3;      cDPi[15:0]       =16'hC0B2;
588
                #28;    cAPi[15:0]       =16'hC0B5;      cDPi[15:0]       =16'hC0B4;
589
                #28;    cAPi[15:0]       =16'hC0B7;      cDPi[15:0]       =16'hC0B6;
590
                #28;    cAPi[15:0]       =16'hC0B9;      cDPi[15:0]       =16'hC0B8;
591
                #28;    cAPi[15:0]       =16'hC0BB;      cDPi[15:0]       =16'hC0BA;
592
                #28;    cAPi[15:0]       =16'hC0BD;      cDPi[15:0]       =16'hC0BC;
593
                #28;    cAPi[15:0]       =16'hC0BF;      cDPi[15:0]       =16'hC0BE;
594
                #14;    bcWr    =1'b1;
595
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
596
                #84;    cDK     =1'b0;          // now a complete cycle
597
////- end of 3RD data burst
598
////- 4TH data burst
599
                #168;
600
                #28;    cDK     =1'b1;
601
                #70;    bcWr    =1'b0;
602
                #14;    cAPi[15:0]       =16'hC0C1;      cDPi[15:0]       =16'hC0C0;
603
                #28;    cAPi[15:0]       =16'hC0C3;      cDPi[15:0]       =16'hC0C2;
604
                #28;    cAPi[15:0]       =16'hC0C5;      cDPi[15:0]       =16'hC0C4;
605
                #28;    cAPi[15:0]       =16'hC0C7;      cDPi[15:0]       =16'hC0C6;
606
                #28;    cAPi[15:0]       =16'hC0C9;      cDPi[15:0]       =16'hC0C8;
607
                #28;    cAPi[15:0]       =16'hC0CB;      cDPi[15:0]       =16'hC0CA;
608
                #28;    cAPi[15:0]       =16'hC0CD;      cDPi[15:0]       =16'hC0CC;
609
                #28;    cAPi[15:0]       =16'hC0CF;      cDPi[15:0]       =16'hC0CE;
610
                #28;    cAPi[15:0]       =16'hC0D1;      cDPi[15:0]       =16'hC0D0;
611
                #28;    cAPi[15:0]       =16'hC0D3;      cDPi[15:0]       =16'hC0D2;
612
                #28;    cAPi[15:0]       =16'hC0D5;      cDPi[15:0]       =16'hC0D4;
613
                #28;    cAPi[15:0]       =16'hC0D7;      cDPi[15:0]       =16'hC0D6;
614
                #28;    cAPi[15:0]       =16'hC0D9;      cDPi[15:0]       =16'hC0D8;
615
                #28;    cAPi[15:0]       =16'hC0DB;      cDPi[15:0]       =16'hC0DA;
616
                #28;    cAPi[15:0]       =16'hC0DD;      cDPi[15:0]       =16'hC0DC;
617
                #28;    cAPi[15:0]       =16'hC0DF;      cDPi[15:0]       =16'hC0DE;
618
                #28;    cAPi[15:0]       =16'hC0E1;      cDPi[15:0]       =16'hC0E0;
619
                #28;    cAPi[15:0]       =16'hC0E3;      cDPi[15:0]       =16'hC0E2;
620
                #28;    cAPi[15:0]       =16'hC0E5;      cDPi[15:0]       =16'hC0E4;
621
                #28;    cAPi[15:0]       =16'hC0E7;      cDPi[15:0]       =16'hC0E6;
622
                #28;    cAPi[15:0]       =16'hC0E9;      cDPi[15:0]       =16'hC0E8;
623
                #28;    cAPi[15:0]       =16'hC0EB;      cDPi[15:0]       =16'hC0EA;
624
                #28;    cAPi[15:0]       =16'hC0ED;      cDPi[15:0]       =16'hC0EC;
625
                #28;    cAPi[15:0]       =16'hC0EF;      cDPi[15:0]       =16'hC0EE;
626
                #28;    cAPi[15:0]       =16'hC0F1;      cDPi[15:0]       =16'hC0F0;
627
                #28;    cAPi[15:0]       =16'hC0F3;      cDPi[15:0]       =16'hC0F2;
628
                #28;    cAPi[15:0]       =16'hC0F5;      cDPi[15:0]       =16'hC0F4;
629
                #28;    cAPi[15:0]       =16'hC0F7;      cDPi[15:0]       =16'hC0F6;
630
                #28;    cAPi[15:0]       =16'hC0F9;      cDPi[15:0]       =16'hC0F8;
631
                #28;    cAPi[15:0]       =16'hC0FB;      cDPi[15:0]       =16'hC0FA;
632
                #28;    cAPi[15:0]       =16'hC0FD;      cDPi[15:0]       =16'hC0FC;
633
                #28;    cAPi[15:0]       =16'hC0FF;      cDPi[15:0]       =16'hC0FE;
634
                #14;    bcWr    =1'b1;
635
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
636
                #84;    cDK     =1'b0;          // now a complete cycle
637
////- end of 4TH data burst
638
 
639
// //////////////////// start UDMA IDE  
640
                #280;   iDQ     =1'b1;
641
                #168;   iRdy    =1'b0;          // this will start UDMA transfer
642
                #672;                           // transfer some data first
643
//
644
                #84;    iRdy    =1'b1;
645
                #84;    iRdy    =1'b0;          // try stopping UDMA transfer
646
                #192;   iRdy    =1'b1;
647
                #140;   iRdy    =1'b0;
648
                #620;
649
//
650
                #364;   iRdy    =1'b1;          // stop the UDMA
651
                #112;   iDQ     =1'b0;          // stop the request too
652
                #196;   iDQ     =1'b1;          // restart the engine
653
                #168;   iRdy    =1'b0;
654
                #280;
655
//
656
                #266;   iRdy    =1'b1;
657
                #70;    iDQ     =1'b0;
658
                #196;   iDQ     =1'b1;
659
                #140;   iRdy    =1'b0;
660
                #448;
661
 
662
                // //- TEST CHANNEL
663
// First DMA data burst
664
                #140;   cDK     =1'b1;
665
                #70;    bcWr    =1'b0;
666
                #14;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
667
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
668
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
669
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
670
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
671
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
672
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
673
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
674
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
675
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
676
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
677
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
678
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
679
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
680
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
681
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
682
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
683
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
684
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
685
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
686
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
687
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
688
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
689
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
690
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
691
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
692
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
693
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
694
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
695
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
696
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
697
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
698
                #14;    bcWr    =1'b1;
699
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
700
                #84;    cDK     =1'b0;          // now a complete cycle
701
////- End of data burst
702
////- 2nd data burst
703
                #168;
704
                #28;    cDK     =1'b1;
705
                #70;    bcWr    =1'b0;
706
                #14;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
707
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
708
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
709
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
710
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
711
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
712
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
713
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
714
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
715
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
716
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
717
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
718
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
719
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
720
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
721
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
722
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
723
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
724
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
725
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
726
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
727
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
728
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
729
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
730
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
731
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
732
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
733
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
734
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
735
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
736
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
737
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
738
                #14;    bcWr    =1'b1;
739
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
740
                #84;    cDK     =1'b0;          // now a complete cycle
741
////- end of 2nd data burst
742
////- 3RD data burst
743
                #168;
744
                #28;    cDK     =1'b1;
745
                #70;    bcWr    =1'b0;
746
                #14;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
747
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
748
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
749
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
750
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
751
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
752
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
753
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
754
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
755
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
756
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
757
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
758
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
759
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
760
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
761
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
762
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
763
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
764
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
765
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
766
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
767
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
768
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
769
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
770
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
771
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
772
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
773
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
774
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
775
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
776
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
777
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
778
                #14;    bcWr    =1'b1;
779
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
780
                #84;    cDK     =1'b0;          // now a complete cycle
781
////- end of 3RD data burst
782
////- 4TH data burst
783
                #168;
784
                #28;    cDK     =1'b1;
785
                #70;    bcWr    =1'b0;
786
                #14;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
787
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
788
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
789
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
790
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
791
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
792
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
793
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
794
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
795
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
796
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
797
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
798
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
799
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
800
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
801
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
802
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
803
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
804
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
805
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
806
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
807
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
808
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
809
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
810
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
811
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
812
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
813
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
814
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
815
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
816
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
817
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
818
                #14;    bcWr    =1'b1;
819
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
820
                #84;    cDK     =1'b0;          // now a complete cycle
821
////- end of 4TH data burst
822
 
823
                #7168;
824
                #280;   iRdy    =1'b1;          // set high the ready signal
825
                #280;   iDQ     =1'b0;          // move out of the IDQ
826
                #280;
827
 
828
 
829
// //- TEST CHANNEL --- this checks the UDMA CRC output engine
830
// First DMA data burst
831
                #140;   cDK     =1'b1;
832
                #70;    bcWr    =1'b0;
833
                #14;    cAPi[15:0]       =16'h4150;      cDPi[15:0]       =16'h414C;
834
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
835
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
836
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
837
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
838
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
839
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
840
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
841
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
842
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
843
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
844
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
845
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
846
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
847
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
848
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
849
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
850
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
851
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
852
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
853
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
854
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
855
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
856
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
857
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
858
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
859
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
860
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
861
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
862
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
863
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
864
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
865
                #14;    bcWr    =1'b1;
866
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
867
                #84;    cDK     =1'b0;          // now a complete cycle
868
////- End of data burst
869
////- 2nd data burst
870
                #168;
871
                #28;    cDK     =1'b1;
872
                #70;    bcWr    =1'b0;
873
                #14;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
874
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
875
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
876
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
877
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
878
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
879
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
880
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
881
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
882
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
883
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
884
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
885
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
886
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
887
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
888
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
889
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
890
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
891
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
892
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
893
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
894
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
895
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
896
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
897
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
898
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
899
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
900
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
901
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
902
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
903
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
904
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
905
                #14;    bcWr    =1'b1;
906
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
907
                #84;    cDK     =1'b0;          // now a complete cycle
908
////- end of 2nd data burst
909
////- 3RD data burst
910
                #168;
911
                #28;    cDK     =1'b1;
912
                #70;    bcWr    =1'b0;
913
                #14;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
914
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
915
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
916
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
917
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
918
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
919
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
920
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
921
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
922
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
923
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
924
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
925
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
926
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
927
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
928
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
929
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
930
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
931
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
932
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
933
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
934
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
935
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
936
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
937
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
938
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
939
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
940
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
941
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
942
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
943
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
944
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
945
                #14;    bcWr    =1'b1;
946
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
947
                #84;    cDK     =1'b0;          // now a complete cycle
948
////- end of 3RD data burst
949
////- 4TH data burst
950
                #168;
951
                #28;    cDK     =1'b1;
952
                #70;    bcWr    =1'b0;
953
                #14;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
954
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
955
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
956
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
957
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
958
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
959
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
960
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
961
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
962
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
963
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
964
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
965
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
966
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
967
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
968
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
969
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
970
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
971
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
972
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
973
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
974
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
975
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
976
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
977
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
978
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
979
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
980
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
981
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
982
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
983
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
984
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
985
                #14;    bcWr    =1'b1;
986
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
987
                #84;    cDK     =1'b0;          // now a complete cycle
988
 
989
// 4th data burst
990
 
991
 
992
                #280;
993
                #280;   iDQ     =1'b1;
994
                #168;   iRdy    =1'b0;          // this will start UDMA transfer
995
                #2800;
996
                #3584;
997
                #560;
998
                #280;   iRdy    =1'b1;          // set high the ready signal
999
                #280;   iDQ     =1'b0;          // move out of the IDQ
1000
                #560;
1001
                #280;
1002
 
1003
 
1004
 
1005
// //- TEST CHANNEL --- this checks the UDMA CRC output engine
1006
// First DMA data burst
1007
                #140;   cDK     =1'b1;
1008
                #70;    bcWr    =1'b0;
1009
                #14;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1010
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1011
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1012
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1013
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1014
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1015
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1016
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1017
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1018
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1019
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1020
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1021
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1022
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1023
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1024
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1025
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1026
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1027
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1028
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1029
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1030
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1031
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1032
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1033
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1034
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1035
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1036
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1037
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1038
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1039
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1040
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1041
                #14;    bcWr    =1'b1;
1042
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
1043
                #84;    cDK     =1'b0;          // now a complete cycle
1044
////- End of data burst
1045
////- 2nd data burst
1046
                #168;
1047
                #28;    cDK     =1'b1;
1048
                #70;    bcWr    =1'b0;
1049
                #14;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1050
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1051
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1052
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1053
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1054
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1055
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1056
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1057
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1058
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1059
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1060
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1061
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1062
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1063
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1064
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1065
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1066
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1067
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1068
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1069
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1070
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1071
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1072
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1073
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1074
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1075
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1076
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1077
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1078
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1079
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1080
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1081
                #14;    bcWr    =1'b1;
1082
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
1083
                #84;    cDK     =1'b0;          // now a complete cycle
1084
////- end of 2nd data burst
1085
////- 3RD data burst
1086
                #168;
1087
                #28;    cDK     =1'b1;
1088
                #70;    bcWr    =1'b0;
1089
                #14;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1090
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1091
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1092
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1093
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1094
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1095
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1096
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1097
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1098
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1099
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1100
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1101
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1102
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1103
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1104
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1105
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1106
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1107
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1108
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1109
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1110
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1111
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1112
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1113
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1114
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1115
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1116
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1117
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1118
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1119
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1120
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1121
                #14;    bcWr    =1'b1;
1122
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
1123
                #84;    cDK     =1'b0;          // now a complete cycle
1124
////- end of 3RD data burst
1125
////- 4TH data burst
1126
                #168;
1127
                #28;    cDK     =1'b1;
1128
                #70;    bcWr    =1'b0;
1129
                #14;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1130
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1131
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1132
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1133
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1134
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1135
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1136
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1137
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1138
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1139
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1140
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1141
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1142
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1143
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1144
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1145
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1146
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1147
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1148
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1149
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1150
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1151
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1152
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1153
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1154
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1155
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1156
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1157
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1158
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1159
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1160
                #28;    cAPi[15:0]       =16'h0000;      cDPi[15:0]       =16'h0000;
1161
                #14;    bcWr    =1'b1;
1162
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
1163
                #84;    cDK     =1'b0;          // now a complete cycle
1164
 
1165
// 4th data burst
1166
 
1167
                #280;
1168
                #280;   iDQ     =1'b1;
1169
                #168;   iRdy    =1'b0;          // this will start UDMA transfer
1170
                #2800;
1171
                #3584;
1172
                #560;
1173
                #280;   iRdy    =1'b1;          // set high the ready signal
1174
                #280;   iDQ     =1'b0;          // move out of the IDQ
1175
                #560;
1176
 
1177
 
1178
                #280;   iDQ     =1'b1;
1179
                #168;   iRdy    =1'b0;          // this will start UDMA transfer
1180
 
1181
 
1182
 
1183
// #7168ns, we pulse 2 blocks of data inside
1184
 
1185
                #84;    cDK     =1'b1;
1186
                #70;    bcWr    =1'b0;
1187
                #14;    cAPi[15:0]       =16'hC101;      cDPi[15:0]       =16'hC100;
1188
                #28;    cAPi[15:0]       =16'hC103;      cDPi[15:0]       =16'hC102;
1189
                #28;    cAPi[15:0]       =16'hC105;      cDPi[15:0]       =16'hC104;
1190
                #28;    cAPi[15:0]       =16'hC107;      cDPi[15:0]       =16'hC106;
1191
                #28;    cAPi[15:0]       =16'hC109;      cDPi[15:0]       =16'hC108;
1192
                #28;    cAPi[15:0]       =16'hC10B;      cDPi[15:0]       =16'hC10A;
1193
                #28;    cAPi[15:0]       =16'hC10D;      cDPi[15:0]       =16'hC10C;
1194
                #28;    cAPi[15:0]       =16'hC10F;      cDPi[15:0]       =16'hC10E;
1195
                #28;    cAPi[15:0]       =16'hC111;      cDPi[15:0]       =16'hC110;
1196
                #28;    cAPi[15:0]       =16'hC113;      cDPi[15:0]       =16'hC112;
1197
                #28;    cAPi[15:0]       =16'hC115;      cDPi[15:0]       =16'hC114;
1198
                #28;    cAPi[15:0]       =16'hC117;      cDPi[15:0]       =16'hC116;
1199
                #28;    cAPi[15:0]       =16'hC119;      cDPi[15:0]       =16'hC118;
1200
                #28;    cAPi[15:0]       =16'hC11B;      cDPi[15:0]       =16'hC11A;
1201
                #28;    cAPi[15:0]       =16'hC11D;      cDPi[15:0]       =16'hC11C;
1202
                #28;    cAPi[15:0]       =16'hC11F;      cDPi[15:0]       =16'hC11E;
1203
                #28;    cAPi[15:0]       =16'hC121;      cDPi[15:0]       =16'hC120;
1204
                #28;    cAPi[15:0]       =16'hC123;      cDPi[15:0]       =16'hC122;
1205
                #28;    cAPi[15:0]       =16'hC125;      cDPi[15:0]       =16'hC124;
1206
                #28;    cAPi[15:0]       =16'hC127;      cDPi[15:0]       =16'hC126;
1207
                #28;    cAPi[15:0]       =16'hC129;      cDPi[15:0]       =16'hC128;
1208
                #28;    cAPi[15:0]       =16'hC12B;      cDPi[15:0]       =16'hC12A;
1209
                #28;    cAPi[15:0]       =16'hC12D;      cDPi[15:0]       =16'hC12C;
1210
                #28;    cAPi[15:0]       =16'hC12F;      cDPi[15:0]       =16'hC12E;
1211
                #28;    cAPi[15:0]       =16'hC131;      cDPi[15:0]       =16'hC130;
1212
                #28;    cAPi[15:0]       =16'hC133;      cDPi[15:0]       =16'hC132;
1213
                #28;    cAPi[15:0]       =16'hC135;      cDPi[15:0]       =16'hC134;
1214
                #28;    cAPi[15:0]       =16'hC137;      cDPi[15:0]       =16'hC136;
1215
                #28;    cAPi[15:0]       =16'hC139;      cDPi[15:0]       =16'hC138;
1216
                #28;    cAPi[15:0]       =16'hC13B;      cDPi[15:0]       =16'hC13A;
1217
                #28;    cAPi[15:0]       =16'hC13D;      cDPi[15:0]       =16'hC13C;
1218
                #28;    cAPi[15:0]       =16'hC13F;      cDPi[15:0]       =16'hC13E;
1219
                #14;    bcWr    =1'b1;
1220
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
1221
                #84;    cDK     =1'b0;          // now a complete cycle
1222
                #168;
1223
 
1224
                #28;    cDK     =1'b1;
1225
                #70;    bcWr    =1'b0;
1226
                #14;    cAPi[15:0]       =16'hC201;      cDPi[15:0]       =16'hC200;
1227
                #28;    cAPi[15:0]       =16'hC203;      cDPi[15:0]       =16'hC202;
1228
                #28;    cAPi[15:0]       =16'hC205;      cDPi[15:0]       =16'hC204;
1229
                #28;    cAPi[15:0]       =16'hC207;      cDPi[15:0]       =16'hC206;
1230
                #28;    cAPi[15:0]       =16'hC209;      cDPi[15:0]       =16'hC208;
1231
                #28;    cAPi[15:0]       =16'hC20B;      cDPi[15:0]       =16'hC20A;
1232
                #28;    cAPi[15:0]       =16'hC20D;      cDPi[15:0]       =16'hC20C;
1233
                #28;    cAPi[15:0]       =16'hC20F;      cDPi[15:0]       =16'hC20E;
1234
                #28;    cAPi[15:0]       =16'hC211;      cDPi[15:0]       =16'hC210;
1235
                #28;    cAPi[15:0]       =16'hC213;      cDPi[15:0]       =16'hC212;
1236
                #28;    cAPi[15:0]       =16'hC215;      cDPi[15:0]       =16'hC214;
1237
                #28;    cAPi[15:0]       =16'hC217;      cDPi[15:0]       =16'hC216;
1238
                #28;    cAPi[15:0]       =16'hC219;      cDPi[15:0]       =16'hC218;
1239
                #28;    cAPi[15:0]       =16'hC21B;      cDPi[15:0]       =16'hC21A;
1240
                #28;    cAPi[15:0]       =16'hC21D;      cDPi[15:0]       =16'hC21C;
1241
                #28;    cAPi[15:0]       =16'hC21F;      cDPi[15:0]       =16'hC21E;
1242
                #28;    cAPi[15:0]       =16'hC221;      cDPi[15:0]       =16'hC220;
1243
                #28;    cAPi[15:0]       =16'hC223;      cDPi[15:0]       =16'hC222;
1244
                #28;    cAPi[15:0]       =16'hC225;      cDPi[15:0]       =16'hC224;
1245
                #28;    cAPi[15:0]       =16'hC227;      cDPi[15:0]       =16'hC226;
1246
                #28;    cAPi[15:0]       =16'hC229;      cDPi[15:0]       =16'hC228;
1247
                #28;    cAPi[15:0]       =16'hC22B;      cDPi[15:0]       =16'hC22A;
1248
                #28;    cAPi[15:0]       =16'hC22D;      cDPi[15:0]       =16'hC22C;
1249
                #28;    cAPi[15:0]       =16'hC22F;      cDPi[15:0]       =16'hC22E;
1250
                #28;    cAPi[15:0]       =16'hC231;      cDPi[15:0]       =16'hC230;
1251
                #28;    cAPi[15:0]       =16'hC233;      cDPi[15:0]       =16'hC232;
1252
                #28;    cAPi[15:0]       =16'hC235;      cDPi[15:0]       =16'hC234;
1253
                #28;    cAPi[15:0]       =16'hC237;      cDPi[15:0]       =16'hC236;
1254
                #28;    cAPi[15:0]       =16'hC239;      cDPi[15:0]       =16'hC238;
1255
                #28;    cAPi[15:0]       =16'hC23B;      cDPi[15:0]       =16'hC23A;
1256
                #28;    cAPi[15:0]       =16'hC23D;      cDPi[15:0]       =16'hC23C;
1257
                #28;    cAPi[15:0]       =16'hC23F;      cDPi[15:0]       =16'hC23E;
1258
                #14;    bcWr    =1'b1;
1259
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
1260
                #84;    cDK     =1'b0;          // now a complete cycle
1261
/// //////////////////////////          
1262
 
1263
                #168;
1264
                #28;    cDK     =1'b1;
1265
                #70;    bcWr    =1'b0;
1266
                #14;    cAPi[15:0]       =16'hC401;      cDPi[15:0]       =16'hC400;
1267
                #28;    cAPi[15:0]       =16'hC403;      cDPi[15:0]       =16'hC402;
1268
                #28;    cAPi[15:0]       =16'hC405;      cDPi[15:0]       =16'hC404;
1269
                #28;    cAPi[15:0]       =16'hC407;      cDPi[15:0]       =16'hC406;
1270
                #28;    cAPi[15:0]       =16'hC409;      cDPi[15:0]       =16'hC408;
1271
                #28;    cAPi[15:0]       =16'hC40B;      cDPi[15:0]       =16'hC40A;
1272
                #28;    cAPi[15:0]       =16'hC40D;      cDPi[15:0]       =16'hC40C;
1273
                #28;    cAPi[15:0]       =16'hC40F;      cDPi[15:0]       =16'hC40E;
1274
                #28;    cAPi[15:0]       =16'hC411;      cDPi[15:0]       =16'hC410;
1275
                #28;    cAPi[15:0]       =16'hC413;      cDPi[15:0]       =16'hC412;
1276
                #28;    cAPi[15:0]       =16'hC415;      cDPi[15:0]       =16'hC414;
1277
                #28;    cAPi[15:0]       =16'hC417;      cDPi[15:0]       =16'hC416;
1278
                #28;    cAPi[15:0]       =16'hC419;      cDPi[15:0]       =16'hC418;
1279
                #28;    cAPi[15:0]       =16'hC41B;      cDPi[15:0]       =16'hC41A;
1280
                #28;    cAPi[15:0]       =16'hC41D;      cDPi[15:0]       =16'hC41C;
1281
                #28;    cAPi[15:0]       =16'hC41F;      cDPi[15:0]       =16'hC41E;
1282
                #28;    cAPi[15:0]       =16'hC421;      cDPi[15:0]       =16'hC420;
1283
                #28;    cAPi[15:0]       =16'hC423;      cDPi[15:0]       =16'hC422;
1284
                #28;    cAPi[15:0]       =16'hC425;      cDPi[15:0]       =16'hC424;
1285
                #28;    cAPi[15:0]       =16'hC427;      cDPi[15:0]       =16'hC426;
1286
                #28;    cAPi[15:0]       =16'hC429;      cDPi[15:0]       =16'hC428;
1287
                #28;    cAPi[15:0]       =16'hC42B;      cDPi[15:0]       =16'hC42A;
1288
                #28;    cAPi[15:0]       =16'hC42D;      cDPi[15:0]       =16'hC42C;
1289
                #28;    cAPi[15:0]       =16'hC42F;      cDPi[15:0]       =16'hC42E;
1290
                #28;    cAPi[15:0]       =16'hC431;      cDPi[15:0]       =16'hC430;
1291
                #28;    cAPi[15:0]       =16'hC433;      cDPi[15:0]       =16'hC432;
1292
                #28;    cAPi[15:0]       =16'hC435;      cDPi[15:0]       =16'hC434;
1293
                #28;    cAPi[15:0]       =16'hC437;      cDPi[15:0]       =16'hC436;
1294
                #28;    cAPi[15:0]       =16'hC439;      cDPi[15:0]       =16'hC438;
1295
                #28;    cAPi[15:0]       =16'hC43B;      cDPi[15:0]       =16'hC43A;
1296
                #28;    cAPi[15:0]       =16'hC43D;      cDPi[15:0]       =16'hC43C;
1297
                #28;    cAPi[15:0]       =16'hC43F;      cDPi[15:0]       =16'hC43E;
1298
                #14;    bcWr    =1'b1;
1299
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
1300
                #84;    cDK     =1'b0;          // now a complete cycle
1301
 
1302
 
1303
                #168;
1304
                #28;    cDK     =1'b1;
1305
                #70;    bcWr    =1'b0;
1306
                #14;    cAPi[15:0]       =16'hC501;      cDPi[15:0]       =16'hC500;
1307
                #28;    cAPi[15:0]       =16'hC503;      cDPi[15:0]       =16'hC502;
1308
                #28;    cAPi[15:0]       =16'hC505;      cDPi[15:0]       =16'hC504;
1309
                #28;    cAPi[15:0]       =16'hC507;      cDPi[15:0]       =16'hC506;
1310
                #28;    cAPi[15:0]       =16'hC509;      cDPi[15:0]       =16'hC508;
1311
                #28;    cAPi[15:0]       =16'hC50B;      cDPi[15:0]       =16'hC50A;
1312
                #28;    cAPi[15:0]       =16'hC50D;      cDPi[15:0]       =16'hC50C;
1313
                #28;    cAPi[15:0]       =16'hC50F;      cDPi[15:0]       =16'hC50E;
1314
                #28;    cAPi[15:0]       =16'hC511;      cDPi[15:0]       =16'hC510;
1315
                #28;    cAPi[15:0]       =16'hC513;      cDPi[15:0]       =16'hC512;
1316
                #28;    cAPi[15:0]       =16'hC515;      cDPi[15:0]       =16'hC514;
1317
                #28;    cAPi[15:0]       =16'hC517;      cDPi[15:0]       =16'hC516;
1318
                #28;    cAPi[15:0]       =16'hC519;      cDPi[15:0]       =16'hC518;
1319
                #28;    cAPi[15:0]       =16'hC51B;      cDPi[15:0]       =16'hC51A;
1320
                #28;    cAPi[15:0]       =16'hC51D;      cDPi[15:0]       =16'hC51C;
1321
                #28;    cAPi[15:0]       =16'hC51F;      cDPi[15:0]       =16'hC51E;
1322
                #28;    cAPi[15:0]       =16'hC521;      cDPi[15:0]       =16'hC520;
1323
                #28;    cAPi[15:0]       =16'hC523;      cDPi[15:0]       =16'hC522;
1324
                #28;    cAPi[15:0]       =16'hC525;      cDPi[15:0]       =16'hC524;
1325
                #28;    cAPi[15:0]       =16'hC527;      cDPi[15:0]       =16'hC526;
1326
                #28;    cAPi[15:0]       =16'hC529;      cDPi[15:0]       =16'hC528;
1327
                #28;    cAPi[15:0]       =16'hC52B;      cDPi[15:0]       =16'hC52A;
1328
                #28;    cAPi[15:0]       =16'hC52D;      cDPi[15:0]       =16'hC52C;
1329
                #28;    cAPi[15:0]       =16'hC52F;      cDPi[15:0]       =16'hC52E;
1330
                #28;    cAPi[15:0]       =16'hC531;      cDPi[15:0]       =16'hC530;
1331
                #28;    cAPi[15:0]       =16'hC533;      cDPi[15:0]       =16'hC532;
1332
                #28;    cAPi[15:0]       =16'hC535;      cDPi[15:0]       =16'hC534;
1333
                #28;    cAPi[15:0]       =16'hC537;      cDPi[15:0]       =16'hC536;
1334
                #28;    cAPi[15:0]       =16'hC539;      cDPi[15:0]       =16'hC538;
1335
                #28;    cAPi[15:0]       =16'hC53B;      cDPi[15:0]       =16'hC53A;
1336
                #28;    cAPi[15:0]       =16'hC53D;      cDPi[15:0]       =16'hC53C;
1337
                #28;    cAPi[15:0]       =16'hC53F;      cDPi[15:0]       =16'hC53E;
1338
                #14;    bcWr    =1'b1;
1339
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
1340
                #84;    cDK     =1'b0;          // now a complete cycle
1341
                #168;
1342
 
1343
                #140;   cDK     =1'b1;
1344
                #70;    bcWr    =1'b0;
1345
                #14;    cAPi[15:0]       =16'hC601;      cDPi[15:0]       =16'hC600;
1346
                #28;    cAPi[15:0]       =16'hC603;      cDPi[15:0]       =16'hC602;
1347
                #28;    cAPi[15:0]       =16'hC605;      cDPi[15:0]       =16'hC604;
1348
                #28;    cAPi[15:0]       =16'hC607;      cDPi[15:0]       =16'hC606;
1349
                #28;    cAPi[15:0]       =16'hC609;      cDPi[15:0]       =16'hC608;
1350
                #28;    cAPi[15:0]       =16'hC60B;      cDPi[15:0]       =16'hC60A;
1351
                #28;    cAPi[15:0]       =16'hC60D;      cDPi[15:0]       =16'hC60C;
1352
                #28;    cAPi[15:0]       =16'hC60F;      cDPi[15:0]       =16'hC60E;
1353
                #28;    cAPi[15:0]       =16'hC611;      cDPi[15:0]       =16'hC610;
1354
                #28;    cAPi[15:0]       =16'hC613;      cDPi[15:0]       =16'hC612;
1355
                #28;    cAPi[15:0]       =16'hC615;      cDPi[15:0]       =16'hC614;
1356
                #28;    cAPi[15:0]       =16'hC617;      cDPi[15:0]       =16'hC616;
1357
                #28;    cAPi[15:0]       =16'hC619;      cDPi[15:0]       =16'hC618;
1358
                #28;    cAPi[15:0]       =16'hC61B;      cDPi[15:0]       =16'hC61A;
1359
                #28;    cAPi[15:0]       =16'hC61D;      cDPi[15:0]       =16'hC61C;
1360
                #28;    cAPi[15:0]       =16'hC61F;      cDPi[15:0]       =16'hC61E;
1361
                #28;    cAPi[15:0]       =16'hC621;      cDPi[15:0]       =16'hC620;
1362
                #28;    cAPi[15:0]       =16'hC623;      cDPi[15:0]       =16'hC622;
1363
                #28;    cAPi[15:0]       =16'hC625;      cDPi[15:0]       =16'hC624;
1364
                #28;    cAPi[15:0]       =16'hC627;      cDPi[15:0]       =16'hC626;
1365
                #28;    cAPi[15:0]       =16'hC629;      cDPi[15:0]       =16'hC628;
1366
                #28;    cAPi[15:0]       =16'hC62B;      cDPi[15:0]       =16'hC62A;
1367
                #28;    cAPi[15:0]       =16'hC62D;      cDPi[15:0]       =16'hC62C;
1368
                #28;    cAPi[15:0]       =16'hC62F;      cDPi[15:0]       =16'hC62E;
1369
                #28;    cAPi[15:0]       =16'hC631;      cDPi[15:0]       =16'hC630;
1370
                #28;    cAPi[15:0]       =16'hC633;      cDPi[15:0]       =16'hC632;
1371
                #28;    cAPi[15:0]       =16'hC635;      cDPi[15:0]       =16'hC634;
1372
                #28;    cAPi[15:0]       =16'hC637;      cDPi[15:0]       =16'hC636;
1373
                #28;    cAPi[15:0]       =16'hC639;      cDPi[15:0]       =16'hC638;
1374
                #28;    cAPi[15:0]       =16'hC63B;      cDPi[15:0]       =16'hC63A;
1375
                #28;    cAPi[15:0]       =16'hC63D;      cDPi[15:0]       =16'hC63C;
1376
                #28;    cAPi[15:0]       =16'hC63F;      cDPi[15:0]       =16'hC63E;
1377
                #14;    bcWr    =1'b1;
1378
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
1379
                #84;    cDK     =1'b0;          // now a complete cycle
1380
                #168;
1381
 
1382
                #28;    cDK     =1'b1;
1383
                #70;    bcWr    =1'b0;
1384
                #14;    cAPi[15:0]       =16'hC701;      cDPi[15:0]       =16'hC700;
1385
                #28;    cAPi[15:0]       =16'hC703;      cDPi[15:0]       =16'hC702;
1386
                #28;    cAPi[15:0]       =16'hC705;      cDPi[15:0]       =16'hC704;
1387
                #28;    cAPi[15:0]       =16'hC707;      cDPi[15:0]       =16'hC706;
1388
                #28;    cAPi[15:0]       =16'hC709;      cDPi[15:0]       =16'hC708;
1389
                #28;    cAPi[15:0]       =16'hC70B;      cDPi[15:0]       =16'hC70A;
1390
                #28;    cAPi[15:0]       =16'hC70D;      cDPi[15:0]       =16'hC70C;
1391
                #28;    cAPi[15:0]       =16'hC70F;      cDPi[15:0]       =16'hC70E;
1392
                #28;    cAPi[15:0]       =16'hC711;      cDPi[15:0]       =16'hC710;
1393
                #28;    cAPi[15:0]       =16'hC713;      cDPi[15:0]       =16'hC712;
1394
                #28;    cAPi[15:0]       =16'hC715;      cDPi[15:0]       =16'hC714;
1395
                #28;    cAPi[15:0]       =16'hC717;      cDPi[15:0]       =16'hC716;
1396
                #28;    cAPi[15:0]       =16'hC719;      cDPi[15:0]       =16'hC718;
1397
                #28;    cAPi[15:0]       =16'hC71B;      cDPi[15:0]       =16'hC71A;
1398
                #28;    cAPi[15:0]       =16'hC71D;      cDPi[15:0]       =16'hC71C;
1399
                #28;    cAPi[15:0]       =16'hC71F;      cDPi[15:0]       =16'hC71E;
1400
                #28;    cAPi[15:0]       =16'hC721;      cDPi[15:0]       =16'hC720;
1401
                #28;    cAPi[15:0]       =16'hC723;      cDPi[15:0]       =16'hC722;
1402
                #28;    cAPi[15:0]       =16'hC725;      cDPi[15:0]       =16'hC724;
1403
                #28;    cAPi[15:0]       =16'hC727;      cDPi[15:0]       =16'hC726;
1404
                #28;    cAPi[15:0]       =16'hC729;      cDPi[15:0]       =16'hC728;
1405
                #28;    cAPi[15:0]       =16'hC72B;      cDPi[15:0]       =16'hC72A;
1406
                #28;    cAPi[15:0]       =16'hC72D;      cDPi[15:0]       =16'hC72C;
1407
                #28;    cAPi[15:0]       =16'hC72F;      cDPi[15:0]       =16'hC72E;
1408
                #28;    cAPi[15:0]       =16'hC731;      cDPi[15:0]       =16'hC730;
1409
                #28;    cAPi[15:0]       =16'hC733;      cDPi[15:0]       =16'hC732;
1410
                #28;    cAPi[15:0]       =16'hC735;      cDPi[15:0]       =16'hC734;
1411
                #28;    cAPi[15:0]       =16'hC737;      cDPi[15:0]       =16'hC736;
1412
                #28;    cAPi[15:0]       =16'hC739;      cDPi[15:0]       =16'hC738;
1413
                #28;    cAPi[15:0]       =16'hC73B;      cDPi[15:0]       =16'hC73A;
1414
                #28;    cAPi[15:0]       =16'hC73D;      cDPi[15:0]       =16'hC73C;
1415
                #28;    cAPi[15:0]       =16'hC73F;      cDPi[15:0]       =16'hC73E;
1416
                #14;    bcWr    =1'b1;
1417
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;
1418
                #84;    cDK     =1'b0;          // now a complete cycle
1419
                #168;
1420
 
1421
                #28;    cDK     =1'b1;
1422
                #70;    bcWr    =1'b0;
1423
                #14;    cAPi[15:0]       =16'hC801;      cDPi[15:0]       =16'hC800;
1424
                #28;    cAPi[15:0]       =16'hC803;      cDPi[15:0]       =16'hC802;
1425
                #28;    cAPi[15:0]       =16'hC805;      cDPi[15:0]       =16'hC804;
1426
                #28;    cAPi[15:0]       =16'hC807;      cDPi[15:0]       =16'hC806;
1427
                #28;    cAPi[15:0]       =16'hC809;      cDPi[15:0]       =16'hC808;
1428
                #28;    cAPi[15:0]       =16'hC80B;      cDPi[15:0]       =16'hC80A;
1429
                #28;    cAPi[15:0]       =16'hC80D;      cDPi[15:0]       =16'hC80C;
1430
                #28;    cAPi[15:0]       =16'hC80F;      cDPi[15:0]       =16'hC80E;
1431
                #28;    cAPi[15:0]       =16'hC811;      cDPi[15:0]       =16'hC810;
1432
                #28;    cAPi[15:0]       =16'hC813;      cDPi[15:0]       =16'hC812;
1433
                #28;    cAPi[15:0]       =16'hC815;      cDPi[15:0]       =16'hC814;
1434
                #28;    cAPi[15:0]       =16'hC817;      cDPi[15:0]       =16'hC816;
1435
                #28;    cAPi[15:0]       =16'hC819;      cDPi[15:0]       =16'hC818;
1436
                #28;    cAPi[15:0]       =16'hC81B;      cDPi[15:0]       =16'hC81A;
1437
                #28;    cAPi[15:0]       =16'hC81D;      cDPi[15:0]       =16'hC81C;
1438
                #28;    cAPi[15:0]       =16'hC81F;      cDPi[15:0]       =16'hC81E;
1439
                #28;    cAPi[15:0]       =16'hC821;      cDPi[15:0]       =16'hC820;
1440
                #28;    cAPi[15:0]       =16'hC823;      cDPi[15:0]       =16'hC822;
1441
                #28;    cAPi[15:0]       =16'hC825;      cDPi[15:0]       =16'hC824;
1442
                #28;    cAPi[15:0]       =16'hC827;      cDPi[15:0]       =16'hC826;
1443
                #28;    cAPi[15:0]       =16'hC829;      cDPi[15:0]       =16'hC828;
1444
                #28;    cAPi[15:0]       =16'hC82B;      cDPi[15:0]       =16'hC82A;
1445
                #28;    cAPi[15:0]       =16'hC82D;      cDPi[15:0]       =16'hC82C;
1446
                #28;    cAPi[15:0]       =16'hC82F;      cDPi[15:0]       =16'hC82E;
1447
                #28;    cAPi[15:0]       =16'hC831;      cDPi[15:0]       =16'hC830;
1448
                #28;    cAPi[15:0]       =16'hC833;      cDPi[15:0]       =16'hC832;
1449
                #28;    cAPi[15:0]       =16'hC835;      cDPi[15:0]       =16'hC834;
1450
                #28;    cAPi[15:0]       =16'hC837;      cDPi[15:0]       =16'hC836;
1451
                #28;    cAPi[15:0]       =16'hC839;      cDPi[15:0]       =16'hC838;
1452
                #28;    cAPi[15:0]       =16'hC83B;      cDPi[15:0]       =16'hC83A;
1453
                #28;    cAPi[15:0]       =16'hC83D;      cDPi[15:0]       =16'hC83C;      iRdy =1'b1;
1454
                #28;    cAPi[15:0]       =16'hC83F;      cDPi[15:0]       =16'hC83E;
1455
                #14;    bcWr    =1'b1;
1456
                #14     cAPi[15:0]       =16'hZZZZ;      cDPi[15:0]       =16'hZZZZ;      iDQ =1'b0;
1457
                #84;    cDK     =1'b0;          // now a complete cycle
1458
                #168;
1459
 
1460
 
1461
///////////////  End of stub
1462
 
1463
 
1464
 
1465
////- ====set ultraDMA mode UDMA mode 0
1466
        #112; cAPi[15:0] =16'h0042;      cDPi[15:0] =16'h0003; // write 0042(0003)
1467
        # 14; bcCS =1'b0;
1468
        # 14; bcRd =1'b1; bcWr =1'b0;
1469
        #196; bcRd =1'b1; bcWr =1'b1;
1470
        # 14; bcCS =1'b1;
1471
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1472
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0041; // write 0044(0041) U-Mode1
1473
        # 14; bcCS =1'b0;
1474
        # 14; bcRd =1'b1; bcWr =1'b0;
1475
        #196; bcRd =1'b1; bcWr =1'b1;
1476
        # 14; bcCS =1'b1;
1477
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1478
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00EF; // write 004E(00EF)
1479
        # 14; bcCS =1'b0;
1480
        # 14; bcRd =1'b1; bcWr =1'b0;
1481
        #196; bcRd =1'b1; bcWr =1'b1;
1482
        # 14; bcCS =1'b1;
1483
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1484
////- ==================
1485
#84;
1486
#28; cDK =1'b1;
1487
#70; bcWr =1'b0;
1488
        iDQ =1'b1;
1489
#14; cAPi[15:0] =16'hC2C1; cDPi[15:0] =16'hC2C0;
1490
#28; cAPi[15:0] =16'hC2C3; cDPi[15:0] =16'hC2C2;
1491
#28; cAPi[15:0] =16'hC2C5; cDPi[15:0] =16'hC2C4;
1492
   iRdy =1'b0;
1493
#28; cAPi[15:0] =16'hC2C7; cDPi[15:0] =16'hC2C6;
1494
#28; cAPi[15:0] =16'hC2C9; cDPi[15:0] =16'hC2C8;
1495
#28; cAPi[15:0] =16'hC2CB; cDPi[15:0] =16'hC2CA;
1496
#28; cAPi[15:0] =16'hC2CD; cDPi[15:0] =16'hC2CC;
1497
#28; cAPi[15:0] =16'hC2CF; cDPi[15:0] =16'hC2CE;
1498
#28; cAPi[15:0] =16'hC2D1; cDPi[15:0] =16'hC2D0;
1499
#28; cAPi[15:0] =16'hC2D3; cDPi[15:0] =16'hC2D2;
1500
#28; cAPi[15:0] =16'hC2D5; cDPi[15:0] =16'hC2D4;
1501
#28; cAPi[15:0] =16'hC2D7; cDPi[15:0] =16'hC2D6;
1502
#28; cAPi[15:0] =16'hC2D9; cDPi[15:0] =16'hC2D8;
1503
#28; cAPi[15:0] =16'hC2DB; cDPi[15:0] =16'hC2DA;
1504
#28; cAPi[15:0] =16'hC2DD; cDPi[15:0] =16'hC2DC;
1505
#28; cAPi[15:0] =16'hC2DF; cDPi[15:0] =16'hC2DE;
1506
#28; cAPi[15:0] =16'hC2E1; cDPi[15:0] =16'hC2E0;
1507
#28; cAPi[15:0] =16'hC2E3; cDPi[15:0] =16'hC2E2;
1508
#28; cAPi[15:0] =16'hC2E5; cDPi[15:0] =16'hC2E4;
1509
#28; cAPi[15:0] =16'hC2E7; cDPi[15:0] =16'hC2E6;
1510
#28; cAPi[15:0] =16'hC2E9; cDPi[15:0] =16'hC2E8;
1511
#28; cAPi[15:0] =16'hC2EB; cDPi[15:0] =16'hC2EA;
1512
#28; cAPi[15:0] =16'hC2ED; cDPi[15:0] =16'hC2EC;
1513
#28; cAPi[15:0] =16'hC2EF; cDPi[15:0] =16'hC2EE;
1514
#28; cAPi[15:0] =16'hC2F1; cDPi[15:0] =16'hC2F0;
1515
#28; cAPi[15:0] =16'hC2F3; cDPi[15:0] =16'hC2F2;
1516
#28; cAPi[15:0] =16'hC2F5; cDPi[15:0] =16'hC2F4;
1517
#28; cAPi[15:0] =16'hC2F7; cDPi[15:0] =16'hC2F6;
1518
#28; cAPi[15:0] =16'hC2F9; cDPi[15:0] =16'hC2F8;
1519
#28; cAPi[15:0] =16'hC2FB; cDPi[15:0] =16'hC2FA;
1520
#28; cAPi[15:0] =16'hC2FD; cDPi[15:0] =16'hC2FC;
1521
#28; cAPi[15:0] =16'hC2FF; cDPi[15:0] =16'hC2FE;
1522
#14; bcWr =1'b1;
1523
#14; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;  ////// now a complete cycle
1524
#84; cDK =1'b0; ////// now a complete cycle
1525
#168;
1526
////////////////////////////////////////////////////////////
1527
#13153;
1528
#168;
1529
#56;
1530
iRdy =1'b1;
1531
#56;
1532
iDQ =1'b0;
1533
#280;
1534
////////////////////////////////////////////////////////////
1535
////- ====set ultraDMA mode UDMA mode 1
1536
        #112; cAPi[15:0] =16'h0042;      cDPi[15:0] =16'h0003; // write 0042(0003)
1537
        # 14; bcCS =1'b0;
1538
        # 14; bcRd =1'b1; bcWr =1'b0;
1539
        #196; bcRd =1'b1; bcWr =1'b1;
1540
        # 14; bcCS =1'b1;
1541
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1542
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0042; // write 0044(0042) UDMA-MODE1
1543
        # 14; bcCS =1'b0;
1544
        # 14; bcRd =1'b1; bcWr =1'b0;
1545
        #196; bcRd =1'b1; bcWr =1'b1;
1546
        # 14; bcCS =1'b1;
1547
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1548
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00EF; // write 004E(00EF)
1549
        # 14; bcCS =1'b0;
1550
        # 14; bcRd =1'b1; bcWr =1'b0;
1551
        #196; bcRd =1'b1; bcWr =1'b1;
1552
        # 14; bcCS =1'b1;
1553
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1554
////// ===========================      
1555
#280;
1556
iDQ =1'b1;
1557
#140;
1558
iRdy =1'b0;
1559
#11004;
1560
iRdy =1'b1;
1561
#56;
1562
iDQ =1'b0;
1563
#280;
1564
 
1565
//- start DMA REQ process  18 clock for each DMA read (504 ns per pulse )
1566
        #112; cAPi[15:0] =16'h0038;      cDPi[15:0] =16'h0003; // write 0038(0003)
1567
        # 14; bcCS =1'b0;
1568
        # 14; bcRd =1'b1; bcWr =1'b0;
1569
        #196; bcRd =1'b1; bcWr =1'b1;
1570
        # 14; bcCS =1'b1;
1571
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1572
        #112; cAPi[15:0] =16'h0064;      cDPi[15:0] =16'h004E; // write 0064(004E)
1573
        # 14; bcCS =1'b0;
1574
        # 14; bcRd =1'b1; bcWr =1'b0;
1575
        #196; bcRd =1'b1; bcWr =1'b1;
1576
        # 14; bcCS =1'b1;
1577
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1578
////- ====set Multi-DMA mode 0
1579
        #112; cAPi[15:0] =16'h0042;      cDPi[15:0] =16'h0003; // write 0042(0003)
1580
        # 14; bcCS =1'b0;
1581
        # 14; bcRd =1'b1; bcWr =1'b0;
1582
        #196; bcRd =1'b1; bcWr =1'b1;
1583
        # 14; bcCS =1'b1;
1584
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1585
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0020; // write 0044(0020) MDMA-0
1586
        # 14; bcCS =1'b0;
1587
        # 14; bcRd =1'b1; bcWr =1'b0;
1588
        #196; bcRd =1'b1; bcWr =1'b1;
1589
        # 14; bcCS =1'b1;
1590
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1591
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00EF; // write 004E(00EF)
1592
        # 14; bcCS =1'b0;
1593
        # 14; bcRd =1'b1; bcWr =1'b0;
1594
        #196; bcRd =1'b1; bcWr =1'b1;
1595
        # 14; bcCS =1'b1;
1596
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1597
////// ====================================
1598
        #112; cAPi[15:0] =16'h0032;      cDPi[15:0] =16'h0086; // write 0032(0086)
1599
        # 14; bcCS =1'b0;
1600
        # 14; bcRd =1'b1; bcWr =1'b0;
1601
        #196; bcRd =1'b1; bcWr =1'b1;
1602
        # 14; bcCS =1'b1;
1603
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1604
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0001; // write 0044(0001)
1605
        # 14; bcCS =1'b0;
1606
        # 14; bcRd =1'b1; bcWr =1'b0;
1607
        #196; bcRd =1'b1; bcWr =1'b1;
1608
        # 14; bcCS =1'b1;
1609
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1610
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00CA; // write 004E(00CA)
1611
        # 14; bcCS =1'b0;
1612
        # 14; bcRd =1'b1; bcWr =1'b0;
1613
        #196; bcRd =1'b1; bcWr =1'b1;
1614
        # 14; bcCS =1'b1;
1615
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1616
        #112; cAPi[15:0] =16'h0024;      cDPi[15:0] =16'h0006; // write 0024(0006)
1617
        # 14; bcCS =1'b0;
1618
        # 14; bcRd =1'b1; bcWr =1'b0;
1619
        #196; bcRd =1'b1; bcWr =1'b1;
1620
        # 14; bcCS =1'b1;
1621
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1622
        #112; cAPi[15:0] =16'h002E;      cDPi[15:0] =16'h0001; // write 002E(0001)
1623
        # 14; bcCS =1'b0;
1624
        # 14; bcRd =1'b1; bcWr =1'b0;
1625
        #196; bcRd =1'b1; bcWr =1'b1;
1626
        # 14; bcCS =1'b1;
1627
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1628
        #112; cAPi[15:0] =16'h002E;      cDPi[15:0] =16'h0000; // write 002E(0000)
1629
        # 14; bcCS =1'b0;
1630
        # 14; bcRd =1'b1; bcWr =1'b0;
1631
        #196; bcRd =1'b1; bcWr =1'b1;
1632
        # 14; bcCS =1'b1;
1633
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1634
 
1635
////
1636
        #476; iDQ =1'b1;
1637
        #77 ;
1638
                iDi[15:0] =16'h0000; #266; iDi[15:0] =16'hZZZZ; #238;
1639
                iDi[15:0] =16'h0001; #266; iDi[15:0] =16'hZZZZ; #238;
1640
                iDi[15:0] =16'h0002; #266; iDi[15:0] =16'hZZZZ; #238;
1641
                iDi[15:0] =16'h0003; #266; iDi[15:0] =16'hZZZZ; #238;
1642
          iDi[15:0] =16'h0004; #266; iDi[15:0] =16'hZZZZ; #238;
1643
          iDi[15:0] =16'h0005; #266; iDi[15:0] =16'hZZZZ; #238;
1644
          iDi[15:0] =16'h0006; #266; iDi[15:0] =16'hZZZZ; #238;
1645
          iDi[15:0] =16'h0007; #266; iDi[15:0] =16'hZZZZ; #238;
1646
          iDi[15:0] =16'h0008; #266; iDi[15:0] =16'hZZZZ; #238;
1647
          iDi[15:0] =16'h0009; #266; iDi[15:0] =16'hZZZZ; #238;
1648
          iDi[15:0] =16'h000A; #266; iDi[15:0] =16'hZZZZ; #238;
1649
          iDi[15:0] =16'h000B; #266; iDi[15:0] =16'hZZZZ; #238;
1650
          iDi[15:0] =16'h000C; #266; iDi[15:0] =16'hZZZZ; #238;
1651
          iDi[15:0] =16'h000D; #266; iDi[15:0] =16'hZZZZ; #238;
1652
          iDi[15:0] =16'h000E; #266; iDi[15:0] =16'hZZZZ; #238;
1653
          iDi[15:0] =16'h000F; #266; iDi[15:0] =16'hZZZZ; #238;
1654
          iDi[15:0] =16'h0010; #266; iDi[15:0] =16'hZZZZ; #238;
1655
          iDi[15:0] =16'h0011; #266; iDi[15:0] =16'hZZZZ; #238;
1656
          iDi[15:0] =16'h0012; #266; iDi[15:0] =16'hZZZZ; #238;
1657
          iDi[15:0] =16'h0013; #266; iDi[15:0] =16'hZZZZ; #238;
1658
          iDi[15:0] =16'h0014; #266; iDi[15:0] =16'hZZZZ; #238;
1659
          iDi[15:0] =16'h0015; #266; iDi[15:0] =16'hZZZZ; #238;
1660
          iDi[15:0] =16'h0016; #266; iDi[15:0] =16'hZZZZ; #238;
1661
          iDi[15:0] =16'h0017; #266; iDi[15:0] =16'hZZZZ; #238;
1662
          iDi[15:0] =16'h0018; #266; iDi[15:0] =16'hZZZZ; #238;
1663
          iDi[15:0] =16'h0019; #266; iDi[15:0] =16'hZZZZ; #238;
1664
          iDi[15:0] =16'h001A; #266; iDi[15:0] =16'hZZZZ; #238;
1665
          iDi[15:0] =16'h001B; #266; iDi[15:0] =16'hZZZZ; #238;
1666
          iDi[15:0] =16'h001C; #266; iDi[15:0] =16'hZZZZ; #238;
1667
          iDi[15:0] =16'h001D; #266; iDi[15:0] =16'hZZZZ; #238;
1668
          iDi[15:0] =16'h001E; #266; iDi[15:0] =16'hZZZZ; #238;
1669
          iDi[15:0] =16'h001F; #266; iDi[15:0] =16'hZZZZ; #238;
1670
          iDi[15:0] =16'h0020; #266; iDi[15:0] =16'hZZZZ; #238;
1671
          iDi[15:0] =16'h0021; #266; iDi[15:0] =16'hZZZZ; #238;
1672
          iDi[15:0] =16'h0022; #266; iDi[15:0] =16'hZZZZ; #238;
1673
          iDi[15:0] =16'h0023; #266; iDi[15:0] =16'hZZZZ; #238;
1674
          iDi[15:0] =16'h0024; #266; iDi[15:0] =16'hZZZZ; #238;
1675
          iDi[15:0] =16'h0025; #266; iDi[15:0] =16'hZZZZ; #238;
1676
          iDi[15:0] =16'h0026; #266; iDi[15:0] =16'hZZZZ; #238;
1677
          iDi[15:0] =16'h0027; #266; iDi[15:0] =16'hZZZZ; #238;
1678
          iDi[15:0] =16'h0028; #266; iDi[15:0] =16'hZZZZ; #238;
1679
          iDi[15:0] =16'h0029; #266; iDi[15:0] =16'hZZZZ; #238;
1680
          iDi[15:0] =16'h002A; #266; iDi[15:0] =16'hZZZZ; #238;
1681
          iDi[15:0] =16'h002B; #266; iDi[15:0] =16'hZZZZ; #238;
1682
          iDi[15:0] =16'h002C; #266; iDi[15:0] =16'hZZZZ; #238;
1683
          iDi[15:0] =16'h002D; #266; iDi[15:0] =16'hZZZZ; #238;
1684
          iDi[15:0] =16'h002E; #266; iDi[15:0] =16'hZZZZ; #238;
1685
          iDi[15:0] =16'h002F; #266; iDi[15:0] =16'hZZZZ; #238;
1686
          iDi[15:0] =16'h0030; #266; iDi[15:0] =16'hZZZZ; #238;
1687
          iDi[15:0] =16'h0031; #266; iDi[15:0] =16'hZZZZ; #238;
1688
          iDi[15:0] =16'h0032; #266; iDi[15:0] =16'hZZZZ; #238;
1689
          iDi[15:0] =16'h0033; #266; iDi[15:0] =16'hZZZZ; #238;
1690
          iDi[15:0] =16'h0034; #266; iDi[15:0] =16'hZZZZ; #238;
1691
          iDi[15:0] =16'h0035; #266; iDi[15:0] =16'hZZZZ; #238;
1692
          iDi[15:0] =16'h0036; #266; iDi[15:0] =16'hZZZZ; #238;
1693
          iDi[15:0] =16'h0037; #266; iDi[15:0] =16'hZZZZ; #238;
1694
          iDi[15:0] =16'h0038; #266; iDi[15:0] =16'hZZZZ; #238;
1695
          iDi[15:0] =16'h0039; #266; iDi[15:0] =16'hZZZZ; #238;
1696
          iDi[15:0] =16'h003A; #266; iDi[15:0] =16'hZZZZ; #238;
1697
          iDi[15:0] =16'h003B; #266; iDi[15:0] =16'hZZZZ; #238;
1698
          iDi[15:0] =16'h003C; #266; iDi[15:0] =16'hZZZZ; #238;
1699
          iDi[15:0] =16'h003D; #266; iDi[15:0] =16'hZZZZ; #238;
1700
          iDi[15:0] =16'h003E; #266; iDi[15:0] =16'hZZZZ; #238;
1701
          iDi[15:0] =16'h003F; #266; iDi[15:0] =16'hZZZZ; #238;
1702
          iDi[15:0] =16'h0040; #266; iDi[15:0] =16'hZZZZ; #238;
1703
          iDi[15:0] =16'h0041; #266; iDi[15:0] =16'hZZZZ; #238;
1704
          iDi[15:0] =16'h0042; #266; iDi[15:0] =16'hZZZZ; #238;
1705
          iDi[15:0] =16'h0043; #266; iDi[15:0] =16'hZZZZ; #238;
1706
          iDi[15:0] =16'h0044; #266; iDi[15:0] =16'hZZZZ; #238;
1707
          iDi[15:0] =16'h0045; #266; iDi[15:0] =16'hZZZZ; #238;
1708
          iDi[15:0] =16'h0046; #266; iDi[15:0] =16'hZZZZ; #238;
1709
          iDi[15:0] =16'h0047; #266; iDi[15:0] =16'hZZZZ; #238;
1710
          iDi[15:0] =16'h0048; #266; iDi[15:0] =16'hZZZZ; #238;
1711
          iDi[15:0] =16'h0049; #266; iDi[15:0] =16'hZZZZ; #238;
1712
          iDi[15:0] =16'h004A; #266; iDi[15:0] =16'hZZZZ; #238;
1713
          iDi[15:0] =16'h004B; #266; iDi[15:0] =16'hZZZZ; #238;
1714
          iDi[15:0] =16'h004C; #266; iDi[15:0] =16'hZZZZ; #238;
1715
          iDi[15:0] =16'h004D; #266; iDi[15:0] =16'hZZZZ; #238;
1716
          iDi[15:0] =16'h004E; #266; iDi[15:0] =16'hZZZZ; #238;
1717
          iDi[15:0] =16'h004F; #266; iDi[15:0] =16'hZZZZ; #238;
1718
          iDi[15:0] =16'h0050; #266; iDi[15:0] =16'hZZZZ; #238;
1719
          iDi[15:0] =16'h0051; #266; iDi[15:0] =16'hZZZZ; #238;
1720
          iDi[15:0] =16'h0052; #266; iDi[15:0] =16'hZZZZ; #238;
1721
          iDi[15:0] =16'h0053; #266; iDi[15:0] =16'hZZZZ; #238;
1722
          iDi[15:0] =16'h0054; #266; iDi[15:0] =16'hZZZZ; #238;
1723
          iDi[15:0] =16'h0055; #266; iDi[15:0] =16'hZZZZ; #238;
1724
          iDi[15:0] =16'h0056; #266; iDi[15:0] =16'hZZZZ; #238;
1725
          iDi[15:0] =16'h0057; #266; iDi[15:0] =16'hZZZZ; #238;
1726
          iDi[15:0] =16'h0058; #266; iDi[15:0] =16'hZZZZ; #238;
1727
          iDi[15:0] =16'h0059; #266; iDi[15:0] =16'hZZZZ; #238;
1728
          iDi[15:0] =16'h005A; #266; iDi[15:0] =16'hZZZZ; #238;
1729
          iDi[15:0] =16'h005B; #266; iDi[15:0] =16'hZZZZ; #238;
1730
          iDi[15:0] =16'h005C; #266; iDi[15:0] =16'hZZZZ; #238;
1731
          iDi[15:0] =16'h005D; #266; iDi[15:0] =16'hZZZZ; #238;
1732
          iDi[15:0] =16'h005E; #266; iDi[15:0] =16'hZZZZ; #238;
1733
          iDi[15:0] =16'h005F; #266; iDi[15:0] =16'hZZZZ; #238;
1734
          iDi[15:0] =16'h0060; #266; iDi[15:0] =16'hZZZZ; #238;
1735
          iDi[15:0] =16'h0061; #266; iDi[15:0] =16'hZZZZ; #238;
1736
          iDi[15:0] =16'h0062; #266; iDi[15:0] =16'hZZZZ; #238;
1737
          iDi[15:0] =16'h0063; #266; iDi[15:0] =16'hZZZZ; #238;
1738
          iDi[15:0] =16'h0064; #266; iDi[15:0] =16'hZZZZ; #238;
1739
          iDi[15:0] =16'h0065; #266; iDi[15:0] =16'hZZZZ; #238;
1740
          iDi[15:0] =16'h0066; #266; iDi[15:0] =16'hZZZZ; #238;
1741
          iDi[15:0] =16'h0067; #266; iDi[15:0] =16'hZZZZ; #238;
1742
          iDi[15:0] =16'h0068; #266; iDi[15:0] =16'hZZZZ; #238;
1743
          iDi[15:0] =16'h0069; #266; iDi[15:0] =16'hZZZZ; #238;
1744
          iDi[15:0] =16'h006A; #266; iDi[15:0] =16'hZZZZ; #238;
1745
          iDi[15:0] =16'h006B; #266; iDi[15:0] =16'hZZZZ; #238;
1746
          iDi[15:0] =16'h006C; #266; iDi[15:0] =16'hZZZZ; #238;
1747
          iDi[15:0] =16'h006D; #266; iDi[15:0] =16'hZZZZ; #238;
1748
          iDi[15:0] =16'h006E; #266; iDi[15:0] =16'hZZZZ; #238;
1749
          iDi[15:0] =16'h006F; #266; iDi[15:0] =16'hZZZZ; #238;
1750
          iDi[15:0] =16'h0070; #266; iDi[15:0] =16'hZZZZ; #238;
1751
          iDi[15:0] =16'h0071; #266; iDi[15:0] =16'hZZZZ; #238;
1752
          iDi[15:0] =16'h0072; #266; iDi[15:0] =16'hZZZZ; #238;
1753
          iDi[15:0] =16'h0073; #266; iDi[15:0] =16'hZZZZ; #238;
1754
          iDi[15:0] =16'h0074; #266; iDi[15:0] =16'hZZZZ; #238;
1755
          iDi[15:0] =16'h0075; #266; iDi[15:0] =16'hZZZZ; #238;
1756
          iDi[15:0] =16'h0076; #266; iDi[15:0] =16'hZZZZ; #238;
1757
          iDi[15:0] =16'h0077; #266; iDi[15:0] =16'hZZZZ; #238;
1758
          iDi[15:0] =16'h0078; #266; iDi[15:0] =16'hZZZZ; #238;
1759
          iDi[15:0] =16'h0079; #266; iDi[15:0] =16'hZZZZ; #238;
1760
          iDi[15:0] =16'h007A; #266; iDi[15:0] =16'hZZZZ; #238;
1761
          iDi[15:0] =16'h007B; #266; iDi[15:0] =16'hZZZZ; #238;
1762
          iDi[15:0] =16'h007C; #266; iDi[15:0] =16'hZZZZ; #238;
1763
          iDi[15:0] =16'h007D; #266; iDi[15:0] =16'hZZZZ; #238;
1764
          iDi[15:0] =16'h007E; #266; iDi[15:0] =16'hZZZZ; #238;
1765
          iDi[15:0] =16'h007F; #266; iDi[15:0] =16'hZZZZ; #238;
1766
          iDi[15:0] =16'h0080; #266; iDi[15:0] =16'hZZZZ; #238;
1767
          iDi[15:0] =16'h0081; #266; iDi[15:0] =16'hZZZZ; #238;
1768
          iDi[15:0] =16'h0082; #266; iDi[15:0] =16'hZZZZ; #238;
1769
          iDi[15:0] =16'h0083; #266; iDi[15:0] =16'hZZZZ; #238;
1770
          iDi[15:0] =16'h0084; #266; iDi[15:0] =16'hZZZZ; #238;
1771
          iDi[15:0] =16'h0085; #266; iDi[15:0] =16'hZZZZ; #238;
1772
          iDi[15:0] =16'h0086; #266; iDi[15:0] =16'hZZZZ; #238;
1773
          iDi[15:0] =16'h0087; #266; iDi[15:0] =16'hZZZZ; #238;
1774
          iDi[15:0] =16'h0088; #266; iDi[15:0] =16'hZZZZ; #238;
1775
          iDi[15:0] =16'h0089; #266; iDi[15:0] =16'hZZZZ; #238;
1776
          iDi[15:0] =16'h008A; #266; iDi[15:0] =16'hZZZZ; #238;
1777
          iDi[15:0] =16'h008B; #266; iDi[15:0] =16'hZZZZ; #238;
1778
          iDi[15:0] =16'h008C; #266; iDi[15:0] =16'hZZZZ; #238;
1779
          iDi[15:0] =16'h008D; #266; iDi[15:0] =16'hZZZZ; #238;
1780
          iDi[15:0] =16'h008E; #266; iDi[15:0] =16'hZZZZ; #238;
1781
          iDi[15:0] =16'h008F; #266; iDi[15:0] =16'hZZZZ; #238;
1782
          iDi[15:0] =16'h0090; #266; iDi[15:0] =16'hZZZZ; #238;
1783
          iDi[15:0] =16'h0091; #266; iDi[15:0] =16'hZZZZ; #238;
1784
          iDi[15:0] =16'h0092; #266; iDi[15:0] =16'hZZZZ; #238;
1785
          iDi[15:0] =16'h0093; #266; iDi[15:0] =16'hZZZZ; #238;
1786
          iDi[15:0] =16'h0094; #266; iDi[15:0] =16'hZZZZ; #238;
1787
          iDi[15:0] =16'h0095; #266; iDi[15:0] =16'hZZZZ; #238;
1788
          iDi[15:0] =16'h0096; #266; iDi[15:0] =16'hZZZZ; #238;
1789
          iDi[15:0] =16'h0097; #266; iDi[15:0] =16'hZZZZ; #238;
1790
          iDi[15:0] =16'h0098; #266; iDi[15:0] =16'hZZZZ; #238;
1791
          iDi[15:0] =16'h0099; #266; iDi[15:0] =16'hZZZZ; #238;
1792
          iDi[15:0] =16'h009A; #266; iDi[15:0] =16'hZZZZ; #238;
1793
          iDi[15:0] =16'h009B; #266; iDi[15:0] =16'hZZZZ; #238;
1794
          iDi[15:0] =16'h009C; #266; iDi[15:0] =16'hZZZZ; #238;
1795
          iDi[15:0] =16'h009D; #266; iDi[15:0] =16'hZZZZ; #238;
1796
          iDi[15:0] =16'h009E; #266; iDi[15:0] =16'hZZZZ; #238;
1797
          iDi[15:0] =16'h009F; #266; iDi[15:0] =16'hZZZZ; #238;
1798
          iDi[15:0] =16'h00A0; #266; iDi[15:0] =16'hZZZZ; #238;
1799
          iDi[15:0] =16'h00A1; #266; iDi[15:0] =16'hZZZZ; #238;
1800
          iDi[15:0] =16'h00A2; #266; iDi[15:0] =16'hZZZZ; #238;
1801
          iDi[15:0] =16'h00A3; #266; iDi[15:0] =16'hZZZZ; #238;
1802
          iDi[15:0] =16'h00A4; #266; iDi[15:0] =16'hZZZZ; #238;
1803
          iDi[15:0] =16'h00A5; #266; iDi[15:0] =16'hZZZZ; #238;
1804
          iDi[15:0] =16'h00A6; #266; iDi[15:0] =16'hZZZZ; #238;
1805
          iDi[15:0] =16'h00A7; #266; iDi[15:0] =16'hZZZZ; #238;
1806
          iDi[15:0] =16'h00A8; #266; iDi[15:0] =16'hZZZZ; #238;
1807
          iDi[15:0] =16'h00A9; #266; iDi[15:0] =16'hZZZZ; #238;
1808
          iDi[15:0] =16'h00AA; #266; iDi[15:0] =16'hZZZZ; #238;
1809
          iDi[15:0] =16'h00AB; #266; iDi[15:0] =16'hZZZZ; #238;
1810
          iDi[15:0] =16'h00AC; #266; iDi[15:0] =16'hZZZZ; #238;
1811
          iDi[15:0] =16'h00AD; #266; iDi[15:0] =16'hZZZZ; #238;
1812
          iDi[15:0] =16'h00AE; #266; iDi[15:0] =16'hZZZZ; #238;
1813
          iDi[15:0] =16'h00AF; #266; iDi[15:0] =16'hZZZZ; #238;
1814
          iDi[15:0] =16'h00B0; #266; iDi[15:0] =16'hZZZZ; #238;
1815
          iDi[15:0] =16'h00B1; #266; iDi[15:0] =16'hZZZZ; #238;
1816
          iDi[15:0] =16'h00B2; #266; iDi[15:0] =16'hZZZZ; #238;
1817
          iDi[15:0] =16'h00B3; #266; iDi[15:0] =16'hZZZZ; #238;
1818
          iDi[15:0] =16'h00B4; #266; iDi[15:0] =16'hZZZZ; #238;
1819
          iDi[15:0] =16'h00B5; #266; iDi[15:0] =16'hZZZZ; #238;
1820
          iDi[15:0] =16'h00B6; #266; iDi[15:0] =16'hZZZZ; #238;
1821
          iDi[15:0] =16'h00B7; #266; iDi[15:0] =16'hZZZZ; #238;
1822
          iDi[15:0] =16'h00B8; #266; iDi[15:0] =16'hZZZZ; #238;
1823
          iDi[15:0] =16'h00B9; #266; iDi[15:0] =16'hZZZZ; #238;
1824
          iDi[15:0] =16'h00BA; #266; iDi[15:0] =16'hZZZZ; #238;
1825
          iDi[15:0] =16'h00BB; #266; iDi[15:0] =16'hZZZZ; #238;
1826
          iDi[15:0] =16'h00BC; #266; iDi[15:0] =16'hZZZZ; #238;
1827
          iDi[15:0] =16'h00BD; #266; iDi[15:0] =16'hZZZZ; #238;
1828
          iDi[15:0] =16'h00BE; #266; iDi[15:0] =16'hZZZZ; #238;
1829
          iDi[15:0] =16'h00BF; #266; iDi[15:0] =16'hZZZZ; #238;
1830
          iDi[15:0] =16'h00C0; #266; iDi[15:0] =16'hZZZZ; #238;
1831
          iDi[15:0] =16'h00C1; #266; iDi[15:0] =16'hZZZZ; #238;
1832
          iDi[15:0] =16'h00C2; #266; iDi[15:0] =16'hZZZZ; #238;
1833
          iDi[15:0] =16'h00C3; #266; iDi[15:0] =16'hZZZZ; #238;
1834
          iDi[15:0] =16'h00C4; #266; iDi[15:0] =16'hZZZZ; #238;
1835
          iDi[15:0] =16'h00C5; #266; iDi[15:0] =16'hZZZZ; #238;
1836
          iDi[15:0] =16'h00C6; #266; iDi[15:0] =16'hZZZZ; #238;
1837
          iDi[15:0] =16'h00C7; #266; iDi[15:0] =16'hZZZZ; #238;
1838
          iDi[15:0] =16'h00C8; #266; iDi[15:0] =16'hZZZZ; #238;
1839
          iDi[15:0] =16'h00C9; #266; iDi[15:0] =16'hZZZZ; #238;
1840
          iDi[15:0] =16'h00CA; #266; iDi[15:0] =16'hZZZZ; #238;
1841
          iDi[15:0] =16'h00CB; #266; iDi[15:0] =16'hZZZZ; #238;
1842
          iDi[15:0] =16'h00CC; #266; iDi[15:0] =16'hZZZZ; #238;
1843
          iDi[15:0] =16'h00CD; #266; iDi[15:0] =16'hZZZZ; #238;
1844
          iDi[15:0] =16'h00CE; #266; iDi[15:0] =16'hZZZZ; #238;
1845
          iDi[15:0] =16'h00CF; #266; iDi[15:0] =16'hZZZZ; #238;
1846
          iDi[15:0] =16'h00D0; #266; iDi[15:0] =16'hZZZZ; #238;
1847
          iDi[15:0] =16'h00D1; #266; iDi[15:0] =16'hZZZZ; #238;
1848
          iDi[15:0] =16'h00D2; #266; iDi[15:0] =16'hZZZZ; #238;
1849
          iDi[15:0] =16'h00D3; #266; iDi[15:0] =16'hZZZZ; #238;
1850
          iDi[15:0] =16'h00D4; #266; iDi[15:0] =16'hZZZZ; #238;
1851
          iDi[15:0] =16'h00D5; #266; iDi[15:0] =16'hZZZZ; #238;
1852
          iDi[15:0] =16'h00D6; #266; iDi[15:0] =16'hZZZZ; #238;
1853
          iDi[15:0] =16'h00D7; #266; iDi[15:0] =16'hZZZZ; #238;
1854
          iDi[15:0] =16'h00D8; #266; iDi[15:0] =16'hZZZZ; #238;
1855
          iDi[15:0] =16'h00D9; #266; iDi[15:0] =16'hZZZZ; #238;
1856
          iDi[15:0] =16'h00DA; #266; iDi[15:0] =16'hZZZZ; #238;
1857
          iDi[15:0] =16'h00DB; #266; iDi[15:0] =16'hZZZZ; #238;
1858
          iDi[15:0] =16'h00DC; #266; iDi[15:0] =16'hZZZZ; #238;
1859
          iDi[15:0] =16'h00DD; #266; iDi[15:0] =16'hZZZZ; #238;
1860
          iDi[15:0] =16'h00DE; #266; iDi[15:0] =16'hZZZZ; #238;
1861
          iDi[15:0] =16'h00DF; #266; iDi[15:0] =16'hZZZZ; #238;
1862
          iDi[15:0] =16'h00E0; #266; iDi[15:0] =16'hZZZZ; #238;
1863
          iDi[15:0] =16'h00E1; #266; iDi[15:0] =16'hZZZZ; #238;
1864
          iDi[15:0] =16'h00E2; #266; iDi[15:0] =16'hZZZZ; #238;
1865
          iDi[15:0] =16'h00E3; #266; iDi[15:0] =16'hZZZZ; #238;
1866
          iDi[15:0] =16'h00E4; #266; iDi[15:0] =16'hZZZZ; #238;
1867
          iDi[15:0] =16'h00E5; #266; iDi[15:0] =16'hZZZZ; #238;
1868
          iDi[15:0] =16'h00E6; #266; iDi[15:0] =16'hZZZZ; #238;
1869
          iDi[15:0] =16'h00E7; #266; iDi[15:0] =16'hZZZZ; #238;
1870
          iDi[15:0] =16'h00E8; #266; iDi[15:0] =16'hZZZZ; #238;
1871
          iDi[15:0] =16'h00E9; #266; iDi[15:0] =16'hZZZZ; #238;
1872
          iDi[15:0] =16'h00EA; #266; iDi[15:0] =16'hZZZZ; #238;
1873
          iDi[15:0] =16'h00EB; #266; iDi[15:0] =16'hZZZZ; #238;
1874
          iDi[15:0] =16'h00EC; #266; iDi[15:0] =16'hZZZZ; #238;
1875
          iDi[15:0] =16'h00ED; #266; iDi[15:0] =16'hZZZZ; #238;
1876
          iDi[15:0] =16'h00EE; #266; iDi[15:0] =16'hZZZZ; #238;
1877
          iDi[15:0] =16'h00EF; #266; iDi[15:0] =16'hZZZZ; #238;
1878
          iDi[15:0] =16'h00F0; #266; iDi[15:0] =16'hZZZZ; #238;
1879
          iDi[15:0] =16'h00F1; #266; iDi[15:0] =16'hZZZZ; #238;
1880
          iDi[15:0] =16'h00F2; #266; iDi[15:0] =16'hZZZZ; #238;
1881
          iDi[15:0] =16'h00F3; #266; iDi[15:0] =16'hZZZZ; #238;
1882
          iDi[15:0] =16'h00F4; #266; iDi[15:0] =16'hZZZZ; #238;
1883
          iDi[15:0] =16'h00F5; #266; iDi[15:0] =16'hZZZZ; #238;
1884
          iDi[15:0] =16'h00F6; #266; iDi[15:0] =16'hZZZZ; #238;
1885
          iDi[15:0] =16'h00F7; #266; iDi[15:0] =16'hZZZZ; #238;
1886
          iDi[15:0] =16'h00F8; #266; iDi[15:0] =16'hZZZZ; #238;
1887
          iDi[15:0] =16'h00F9; #266; iDi[15:0] =16'hZZZZ; #238;
1888
          iDi[15:0] =16'h00FA; #266; iDi[15:0] =16'hZZZZ; #238;
1889
////VVVVVV one cycle
1890
          iDi[15:0] =16'h00FB;
1891
        # 98; cAPi[15:0] =16'h0038;      cDPi[15:0] =16'hZZZZ; // read 0038[]
1892
        # 14; bcCS =1'b0;
1893
        # 7; bCRT = 1'b0;
1894
        # 7; bcRd =1'b0; bcWr =1'b1;
1895
        #112; bcRd =1'b1; bcWr =1'b1;
1896
        # 7; bCRT = 1'b1;
1897
        # 7; bcCS =1'b1;
1898
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1899
        #238;
1900
////^^^^^^^
1901
////VVVVVV one cycle
1902
          iDi[15:0] =16'h00FC;
1903
        # 98; cAPi[15:0] =16'h002A;      cDPi[15:0] =16'h0002; // write 002A[0002]
1904
        # 7; bcCS =1'b0;
1905
        # 7; bCRT = 1'b0;
1906
        # 14; bcRd =1'b1; bcWr =1'b0;
1907
        #112; bcRd =1'b1; bcWr =1'b1;
1908
        # 7; bCRT =1'b1;
1909
        # 7; bcCS =1'b1;
1910
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1911
        #238;
1912
//// =================
1913
          iDi[15:0] =16'h00FD; #266; iDi[15:0] =16'hZZZZ; #238;
1914
          iDi[15:0] =16'h00FE; #266; iDi[15:0] =16'hZZZZ; #238;
1915
//-======
1916
          iDi[15:0] =16'h00FF;
1917
        # 42; iDQ =1'b0;
1918
        # 56; cAPi[15:0] =16'h0038;      cDPi[15:0] =16'hZZZZ; // read 0038[]
1919
        # 7; bcCS =1'b0;
1920
        # 7; bCRT =1'b0;
1921
        # 14; bcRd =1'b0; bcWr =1'b1;
1922
        #112; bcRd =1'b1; bcWr =1'b1;
1923
        # 7; bCRT =1'b1;
1924
        # 7; bcCS =1'b1;
1925
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1926
        #238;
1927
//-======one cycle ======================////////////////////////////////////
1928
        #280 ;
1929
        # 56; cAPi[15:0] =16'h0038;      cDPi[15:0] =16'hZZZZ; // read 0038[0000]
1930
        # 7; bcCS =1'b0;
1931
        # 7; bCRT = 1'b0;
1932
        # 14; bcRd =1'b0; bcWr =1'b1;
1933
        #112; bcRd =1'b1; bcWr =1'b1;
1934
        # 7; bCRT = 1'b1;
1935
        # 7; bcCS =1'b1;
1936
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1937
        #280;
1938
        # 56; cAPi[15:0] =16'h002A;      cDPi[15:0] =16'hZZZZ; // read 002A[0002]
1939
        # 7; bcCS =1'b0;
1940
        # 7; bCRT = 1'b0;
1941
        # 14; bcRd =1'b0; bcWr =1'b1;
1942
        #112; bcRd =1'b1; bcWr =1'b1;
1943
        # 7; bCRT = 1'b1;
1944
        # 7; bcCS =1'b1;
1945
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1946
        #140;
1947
        # 56; cAPi[15:0] =16'h002A;      cDPi[15:0] =16'h0000; // write 002A[0000]
1948
        # 7; bcCS =1'b0;
1949
        # 7; bCRT = 1'b1;
1950
        # 14; bcRd =1'b1; bcWr =1'b0;
1951
        #112; bcRd =1'b1; bcWr =1'b1;
1952
        # 7; bCRT = 1'b1;
1953
        # 7; bcCS =1'b1;
1954
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1955
        #280;
1956
        # 56; cAPi[15:0] =16'h0038;      cDPi[15:0] =16'hZZZZ; // read 0038[0000]
1957
        # 7; bcCS =1'b0;
1958
        # 7; bCRT = 1'b0;
1959
        # 14; bcRd =1'b0; bcWr =1'b1;
1960
        #112; bcRd =1'b1; bcWr =1'b1;
1961
        # 7; bCRT = 1'b1;
1962
        # 7; bcCS =1'b1;
1963
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1964
        #140;
1965
        # 56; cAPi[15:0] =16'h002A;      cDPi[15:0] =16'h0001; // write 002A[0001]
1966
        # 14; bcCS =1'b0;
1967
        # 14; bcRd =1'b1; bcWr =1'b0;
1968
        #112; bcRd =1'b1; bcWr =1'b1;
1969
        # 14; bcCS =1'b1;
1970
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1971
        #140;   iIRQ =1'b1;             // set high the interrupt request
1972
        #560;   iIRQ =1'b0;
1973
        # 56; cAPi[15:0] =16'h002A;      cDPi[15:0] =16'h0000; // write 002A[0000]
1974
        # 14; bcCS =1'b0;
1975
        # 14; bcRd =1'b1; bcWr =1'b0;
1976
        #112; bcRd =1'b1; bcWr =1'b1;
1977
        # 14; bcCS =1'b1;
1978
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
1979
//// ====================
1980
        #420;
1981
//// ============================put back to the edge
1982
        #20;
1983
//// ============================       
1984
        #504; iDQ =1'b1; iDi[15:0] =16'h0100;
1985
        #504; iDQ =1'b1; iDi[15:0] =16'h0101;
1986
        #504; iDQ =1'b1; iDi[15:0] =16'h0102;
1987
        #504; iDQ =1'b1; iDi[15:0] =16'h0103;
1988
        #504; iDQ =1'b1; iDi[15:0] =16'h0104;
1989
        #504; iDQ =1'b1; iDi[15:0] =16'h0105;
1990
        #504; iDQ =1'b1; iDi[15:0] =16'h0106;
1991
        #504; iDQ =1'b1; iDi[15:0] =16'h0107;
1992
        #504; iDQ =1'b1; iDi[15:0] =16'h0108;
1993
        #504; iDQ =1'b1; iDi[15:0] =16'h0109;
1994
        #504; iDQ =1'b1; iDi[15:0] =16'h010A;
1995
        #504; iDQ =1'b1; iDi[15:0] =16'h010B;
1996
        #504; iDQ =1'b1; iDi[15:0] =16'h010C;
1997
        #504; iDQ =1'b1; iDi[15:0] =16'h010D;
1998
//--- time adjustment
1999
        #14;
2000
//- start of data burst ======================================
2001
        #414; cDK =1'b1;        // start reading another DMA here
2002
                bCRT = 1'b0;
2003
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2004
        # 76; iDQ =1'b1; iDi[15:0] =16'h010E;
2005
//- #504 ns
2006
        #504; iDQ =1'b1; iDi[15:0] =16'h010F;
2007
//- #504 ns
2008
        #316; bcRd =1'b1;
2009
        # 84; bCRT = 1'b1; cDK =1'b0;
2010
        #104; iDQ =1'b1; iDi[15:0] =16'h0110;
2011
//////// =========one burst of PS2 DMA data ==================
2012
//- start of data burst ======================================
2013
        #414; cDK =1'b1;        // start reading another DMA here
2014
                 bCRT = 1'b0;
2015
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2016
        # 76; iDQ =1'b1; iDi[15:0] =16'h0111;
2017
//- #504 ns
2018
        #504; iDQ =1'b1; iDi[15:0] =16'h0112;
2019
//- #504 ns
2020
        #316; bcRd =1'b1;
2021
        # 84; bCRT = 1'b1; cDK =1'b0;
2022
        #104; iDQ =1'b1; iDi[15:0] =16'h0113;
2023
//////// =========one burst of PS2 DMA data ==================
2024
//- start of data burst ======================================
2025
        #414; cDK =1'b1;        // start reading another DMA here
2026
                 bCRT = 1'b0;
2027
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2028
        # 76; iDQ =1'b1; iDi[15:0] =16'h0114;
2029
//- #504 ns
2030
        #504; iDQ =1'b1; iDi[15:0] =16'h0115;
2031
//- #504 ns
2032
        #316; bcRd =1'b1;
2033
        # 84; bCRT = 1'b1; cDK =1'b0;
2034
        #104; iDQ =1'b1; iDi[15:0] =16'h0116;
2035
//////// =========one burst of PS2 DMA data ==================
2036
//- start of data burst ======================================
2037
        #414; cDK =1'b1;        // start reading another DMA here
2038
                 bCRT = 1'b0;
2039
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2040
        # 76; iDQ =1'b1; iDi[15:0] =16'h0117;
2041
//- #504 ns
2042
        #504; iDQ =1'b1; iDi[15:0] =16'h0118;
2043
//- #504 ns
2044
        #316; bcRd =1'b1;
2045
        # 84; bCRT = 1'b1; cDK =1'b0;
2046
        #104; iDQ =1'b1; iDi[15:0] =16'h0119;
2047
//////// =========one burst of PS2 DMA data ==================
2048
        #504; iDQ =1'b1; iDi[15:0] =16'h011A;
2049
        #504; iDQ =1'b1; iDi[15:0] =16'h011B;
2050
        #504; iDQ =1'b1; iDi[15:0] =16'h011C;
2051
        #504; iDQ =1'b1; iDi[15:0] =16'h011D;
2052
        #504; iDQ =1'b1; iDi[15:0] =16'h011E;
2053
        #504; iDQ =1'b1; iDi[15:0] =16'h011F;
2054
        #504; iDQ =1'b1; iDi[15:0] =16'h0120;
2055
        #504; iDQ =1'b1; iDi[15:0] =16'h0121;
2056
        #504; iDQ =1'b1; iDi[15:0] =16'h0122;
2057
        #504; iDQ =1'b1; iDi[15:0] =16'h0123;
2058
        #504; iDQ =1'b1; iDi[15:0] =16'h0124;
2059
        #504; iDQ =1'b1; iDi[15:0] =16'h0125;
2060
        #504; iDQ =1'b1; iDi[15:0] =16'h0126;
2061
        #504; iDQ =1'b1; iDi[15:0] =16'h0127;
2062
        #504; iDQ =1'b1; iDi[15:0] =16'h0128;
2063
        #504; iDQ =1'b1; iDi[15:0] =16'h0129;
2064
        #504; iDQ =1'b1; iDi[15:0] =16'h012A;
2065
        #504; iDQ =1'b1; iDi[15:0] =16'h012B;
2066
        #504; iDQ =1'b1; iDi[15:0] =16'h012C;
2067
        #504; iDQ =1'b1; iDi[15:0] =16'h012D;
2068
        #504; iDQ =1'b1; iDi[15:0] =16'h012E;
2069
        #504; iDQ =1'b1; iDi[15:0] =16'h012F;
2070
        #504; iDQ =1'b1; iDi[15:0] =16'h0130;
2071
        #504; iDQ =1'b1; iDi[15:0] =16'h0131;
2072
        #504; iDQ =1'b1; iDi[15:0] =16'h0132;
2073
        #504; iDQ =1'b1; iDi[15:0] =16'h0133;
2074
        #504; iDQ =1'b1; iDi[15:0] =16'h0134;
2075
        #504; iDQ =1'b1; iDi[15:0] =16'h0135;
2076
        #504; iDQ =1'b1; iDi[15:0] =16'h0136;
2077
        #504; iDQ =1'b1; iDi[15:0] =16'h0137;
2078
        #504; iDQ =1'b1; iDi[15:0] =16'h0138;
2079
        #504; iDQ =1'b1; iDi[15:0] =16'h0139;
2080
        #504; iDQ =1'b1; iDi[15:0] =16'h013A;
2081
        #504; iDQ =1'b1; iDi[15:0] =16'h013B;
2082
        #504; iDQ =1'b1; iDi[15:0] =16'h013C;
2083
        #504; iDQ =1'b1; iDi[15:0] =16'h013D;
2084
        #504; iDQ =1'b1; iDi[15:0] =16'h013E;
2085
        #504; iDQ =1'b1; iDi[15:0] =16'h013F;
2086
        #504; iDQ =1'b1; iDi[15:0] =16'h0140;
2087
        #504; iDQ =1'b1; iDi[15:0] =16'h0141;
2088
        #504; iDQ =1'b1; iDi[15:0] =16'h0142;
2089
        #504; iDQ =1'b1; iDi[15:0] =16'h0143;
2090
        #504; iDQ =1'b1; iDi[15:0] =16'h0144;
2091
        #504; iDQ =1'b1; iDi[15:0] =16'h0145;
2092
        #504; iDQ =1'b1; iDi[15:0] =16'h0146;
2093
        #504; iDQ =1'b1; iDi[15:0] =16'h0147;
2094
        #504; iDQ =1'b1; iDi[15:0] =16'h0148;
2095
        #504; iDQ =1'b1; iDi[15:0] =16'h0149;
2096
        #504; iDQ =1'b1; iDi[15:0] =16'h014A;
2097
        #504; iDQ =1'b1; iDi[15:0] =16'h014B;
2098
        #504; iDQ =1'b1; iDi[15:0] =16'h014C;
2099
        #504; iDQ =1'b1; iDi[15:0] =16'h014D;
2100
        #504; iDQ =1'b1; iDi[15:0] =16'h014E;
2101
        #504; iDQ =1'b1; iDi[15:0] =16'h014F;
2102
        #504; iDQ =1'b1; iDi[15:0] =16'h0150;
2103
        #504; iDQ =1'b1; iDi[15:0] =16'h0151;
2104
        #504; iDQ =1'b1; iDi[15:0] =16'h0152;
2105
        #504; iDQ =1'b1; iDi[15:0] =16'h0153;
2106
        #504; iDQ =1'b1; iDi[15:0] =16'h0154;
2107
        #504; iDQ =1'b1; iDi[15:0] =16'h0155;
2108
        #504; iDQ =1'b1; iDi[15:0] =16'h0156;
2109
        #504; iDQ =1'b1; iDi[15:0] =16'h0157;
2110
        #504; iDQ =1'b1; iDi[15:0] =16'h0158;
2111
        #504; iDQ =1'b1; iDi[15:0] =16'h0159;
2112
        #504; iDQ =1'b1; iDi[15:0] =16'h015A;
2113
        #504; iDQ =1'b1; iDi[15:0] =16'h015B;
2114
        #504; iDQ =1'b1; iDi[15:0] =16'h015C;
2115
        #504; iDQ =1'b1; iDi[15:0] =16'h015D;
2116
        #504; iDQ =1'b1; iDi[15:0] =16'h015E;
2117
        #504; iDQ =1'b1; iDi[15:0] =16'h015F;
2118
        #504; iDQ =1'b1; iDi[15:0] =16'h0160;
2119
        #504; iDQ =1'b1; iDi[15:0] =16'h0161;
2120
        #504; iDQ =1'b1; iDi[15:0] =16'h0162;
2121
        #504; iDQ =1'b1; iDi[15:0] =16'h0163;
2122
        #504; iDQ =1'b1; iDi[15:0] =16'h0164;
2123
        #504; iDQ =1'b1; iDi[15:0] =16'h0165;
2124
        #504; iDQ =1'b1; iDi[15:0] =16'h0166;
2125
        #504; iDQ =1'b1; iDi[15:0] =16'h0167;
2126
        #504; iDQ =1'b1; iDi[15:0] =16'h0168;
2127
        #504; iDQ =1'b1; iDi[15:0] =16'h0169;
2128
        #504; iDQ =1'b1; iDi[15:0] =16'h016A;
2129
        #504; iDQ =1'b1; iDi[15:0] =16'h016B;
2130
        #504; iDQ =1'b1; iDi[15:0] =16'h016C;
2131
        #504; iDQ =1'b1; iDi[15:0] =16'h016D;
2132
        #504; iDQ =1'b1; iDi[15:0] =16'h016E;
2133
        #504; iDQ =1'b1; iDi[15:0] =16'h016F;
2134
        #504; iDQ =1'b1; iDi[15:0] =16'h0170;
2135
        #504; iDQ =1'b1; iDi[15:0] =16'h0171;
2136
        #504; iDQ =1'b1; iDi[15:0] =16'h0172;
2137
        #504; iDQ =1'b1; iDi[15:0] =16'h0173;
2138
        #504; iDQ =1'b1; iDi[15:0] =16'h0174;
2139
        #504; iDQ =1'b1; iDi[15:0] =16'h0175;
2140
        #504; iDQ =1'b1; iDi[15:0] =16'h0176;
2141
        #504; iDQ =1'b1; iDi[15:0] =16'h0177;
2142
        #504; iDQ =1'b1; iDi[15:0] =16'h0178;
2143
        #504; iDQ =1'b1; iDi[15:0] =16'h0179;
2144
        #504; iDQ =1'b1; iDi[15:0] =16'h017A;
2145
        #504; iDQ =1'b1; iDi[15:0] =16'h017B;
2146
        #504; iDQ =1'b1; iDi[15:0] =16'h017C;
2147
        #504; iDQ =1'b1; iDi[15:0] =16'h017D;
2148
        #504; iDQ =1'b1; iDi[15:0] =16'h017E;
2149
        #504; iDQ =1'b1; iDi[15:0] =16'h017F;
2150
        #504; iDQ =1'b1; iDi[15:0] =16'h0180;
2151
        #504; iDQ =1'b1; iDi[15:0] =16'h0181;
2152
        #504; iDQ =1'b1; iDi[15:0] =16'h0182;
2153
        #504; iDQ =1'b1; iDi[15:0] =16'h0183;
2154
        #504; iDQ =1'b1; iDi[15:0] =16'h0184;
2155
        #504; iDQ =1'b1; iDi[15:0] =16'h0185;
2156
        #504; iDQ =1'b1; iDi[15:0] =16'h0186;
2157
        #504; iDQ =1'b1; iDi[15:0] =16'h0187;
2158
        #504; iDQ =1'b1; iDi[15:0] =16'h0188;
2159
        #504; iDQ =1'b1; iDi[15:0] =16'h0189;
2160
        #504; iDQ =1'b1; iDi[15:0] =16'h018A;
2161
        #504; iDQ =1'b1; iDi[15:0] =16'h018B;
2162
        #504; iDQ =1'b1; iDi[15:0] =16'h018C;
2163
        #504; iDQ =1'b1; iDi[15:0] =16'h018D;
2164
        #504; iDQ =1'b1; iDi[15:0] =16'h018E;
2165
        #504; iDQ =1'b1; iDi[15:0] =16'h018F;
2166
        #504; iDQ =1'b1; iDi[15:0] =16'h0190;
2167
        #504; iDQ =1'b1; iDi[15:0] =16'h0191;
2168
        #504; iDQ =1'b1; iDi[15:0] =16'h0192;
2169
        #504; iDQ =1'b1; iDi[15:0] =16'h0193;
2170
        #504; iDQ =1'b1; iDi[15:0] =16'h0194;
2171
        #504; iDQ =1'b1; iDi[15:0] =16'h0195;
2172
        #504; iDQ =1'b1; iDi[15:0] =16'h0196;
2173
        #504; iDQ =1'b1; iDi[15:0] =16'h0197;
2174
        #504; iDQ =1'b1; iDi[15:0] =16'h0198;
2175
        #504; iDQ =1'b1; iDi[15:0] =16'h0199;
2176
        #504; iDQ =1'b1; iDi[15:0] =16'h019A;
2177
        #504; iDQ =1'b1; iDi[15:0] =16'h019B;
2178
        #504; iDQ =1'b1; iDi[15:0] =16'h019C;
2179
        #504; iDQ =1'b1; iDi[15:0] =16'h019D;
2180
        #504; iDQ =1'b1; iDi[15:0] =16'h019E;
2181
        #504; iDQ =1'b1; iDi[15:0] =16'h019F;
2182
        #504; iDQ =1'b1; iDi[15:0] =16'h01A0;
2183
        #504; iDQ =1'b1; iDi[15:0] =16'h01A1;
2184
        #504; iDQ =1'b1; iDi[15:0] =16'h01A2;
2185
        #504; iDQ =1'b1; iDi[15:0] =16'h01A3;
2186
        #504; iDQ =1'b1; iDi[15:0] =16'h01A4;
2187
        #504; iDQ =1'b1; iDi[15:0] =16'h01A5;
2188
        #504; iDQ =1'b1; iDi[15:0] =16'h01A6;
2189
        #504; iDQ =1'b1; iDi[15:0] =16'h01A7;
2190
        #504; iDQ =1'b1; iDi[15:0] =16'h01A8;
2191
        #504; iDQ =1'b1; iDi[15:0] =16'h01A9;
2192
        #504; iDQ =1'b1; iDi[15:0] =16'h01AA;
2193
        #504; iDQ =1'b1; iDi[15:0] =16'h01AB;
2194
        #504; iDQ =1'b1; iDi[15:0] =16'h01AC;
2195
        #504; iDQ =1'b1; iDi[15:0] =16'h01AD;
2196
        #504; iDQ =1'b1; iDi[15:0] =16'h01AE;
2197
        #504; iDQ =1'b1; iDi[15:0] =16'h01AF;
2198
        #504; iDQ =1'b1; iDi[15:0] =16'h01B0;
2199
        #504; iDQ =1'b1; iDi[15:0] =16'h01B1;
2200
        #504; iDQ =1'b1; iDi[15:0] =16'h01B2;
2201
        #504; iDQ =1'b1; iDi[15:0] =16'h01B3;
2202
        #504; iDQ =1'b1; iDi[15:0] =16'h01B4;
2203
        #504; iDQ =1'b1; iDi[15:0] =16'h01B5;
2204
        #504; iDQ =1'b1; iDi[15:0] =16'h01B6;
2205
        #504; iDQ =1'b1; iDi[15:0] =16'h01B7;
2206
        #504; iDQ =1'b1; iDi[15:0] =16'h01B8;
2207
        #504; iDQ =1'b1; iDi[15:0] =16'h01B9;
2208
        #504; iDQ =1'b1; iDi[15:0] =16'h01BA;
2209
        #504; iDQ =1'b1; iDi[15:0] =16'h01BB;
2210
        #504; iDQ =1'b1; iDi[15:0] =16'h01BC;
2211
        #504; iDQ =1'b1; iDi[15:0] =16'h01BD;
2212
        #504; iDQ =1'b1; iDi[15:0] =16'h01BE;
2213
        #504; iDQ =1'b1; iDi[15:0] =16'h01BF;
2214
        #504; iDQ =1'b1; iDi[15:0] =16'h01C0;
2215
        #504; iDQ =1'b1; iDi[15:0] =16'h01C1;
2216
        #504; iDQ =1'b1; iDi[15:0] =16'h01C2;
2217
        #504; iDQ =1'b1; iDi[15:0] =16'h01C3;
2218
        #504; iDQ =1'b1; iDi[15:0] =16'h01C4;
2219
        #504; iDQ =1'b1; iDi[15:0] =16'h01C5;
2220
        #504; iDQ =1'b1; iDi[15:0] =16'h01C6;
2221
        #504; iDQ =1'b1; iDi[15:0] =16'h01C7;
2222
        #504; iDQ =1'b1; iDi[15:0] =16'h01C8;
2223
        #504; iDQ =1'b1; iDi[15:0] =16'h01C9;
2224
        #504; iDQ =1'b1; iDi[15:0] =16'h01CA;
2225
        #504; iDQ =1'b1; iDi[15:0] =16'h01CB;
2226
        #504; iDQ =1'b1; iDi[15:0] =16'h01CC;
2227
        #504; iDQ =1'b1; iDi[15:0] =16'h01CD;
2228
        #504; iDQ =1'b1; iDi[15:0] =16'h01CE;
2229
        #504; iDQ =1'b1; iDi[15:0] =16'h01CF;
2230
        #504; iDQ =1'b1; iDi[15:0] =16'h01D0;
2231
        #504; iDQ =1'b1; iDi[15:0] =16'h01D1;
2232
        #504; iDQ =1'b1; iDi[15:0] =16'h01D2;
2233
        #504; iDQ =1'b1; iDi[15:0] =16'h01D3;
2234
        #504; iDQ =1'b1; iDi[15:0] =16'h01D4;
2235
        #504; iDQ =1'b1; iDi[15:0] =16'h01D5;
2236
        #504; iDQ =1'b1; iDi[15:0] =16'h01D6;
2237
        #504; iDQ =1'b1; iDi[15:0] =16'h01D7;
2238
        #504; iDQ =1'b1; iDi[15:0] =16'h01D8;
2239
        #504; iDQ =1'b1; iDi[15:0] =16'h01D9;
2240
        #504; iDQ =1'b1; iDi[15:0] =16'h01DA;
2241
        #504; iDQ =1'b1; iDi[15:0] =16'h01DB;
2242
        #504; iDQ =1'b1; iDi[15:0] =16'h01DC;
2243
        #504; iDQ =1'b1; iDi[15:0] =16'h01DD;
2244
        #504; iDQ =1'b1; iDi[15:0] =16'h01DE;
2245
        #504; iDQ =1'b1; iDi[15:0] =16'h01DF;
2246
        #504; iDQ =1'b1; iDi[15:0] =16'h01E0;
2247
        #504; iDQ =1'b1; iDi[15:0] =16'h01E1;
2248
        #504; iDQ =1'b1; iDi[15:0] =16'h01E2;
2249
        #504; iDQ =1'b1; iDi[15:0] =16'h01E3;
2250
        #504; iDQ =1'b1; iDi[15:0] =16'h01E4;
2251
        #504; iDQ =1'b1; iDi[15:0] =16'h01E5;
2252
        #504; iDQ =1'b1; iDi[15:0] =16'h01E6;
2253
        #504; iDQ =1'b1; iDi[15:0] =16'h01E7;
2254
        #504; iDQ =1'b1; iDi[15:0] =16'h01E8;
2255
        #504; iDQ =1'b1; iDi[15:0] =16'h01E9;
2256
        #504; iDQ =1'b1; iDi[15:0] =16'h01EA;
2257
        #504; iDQ =1'b1; iDi[15:0] =16'h01EB;
2258
        #504; iDQ =1'b1; iDi[15:0] =16'h01EC;
2259
        #504; iDQ =1'b1; iDi[15:0] =16'h01ED;
2260
        #504; iDQ =1'b1; iDi[15:0] =16'h01EE;
2261
        #504; iDQ =1'b1; iDi[15:0] =16'h01EF;
2262
        #504; iDQ =1'b1; iDi[15:0] =16'h01F0;
2263
        #504; iDQ =1'b1; iDi[15:0] =16'h01F1;
2264
        #504; iDQ =1'b1; iDi[15:0] =16'h01F2;
2265
        #504; iDQ =1'b1; iDi[15:0] =16'h01F3;
2266
        #504; iDQ =1'b1; iDi[15:0] =16'h01F4;
2267
        #504; iDQ =1'b1; iDi[15:0] =16'h01F5;
2268
        #504; iDQ =1'b1; iDi[15:0] =16'h01F6;
2269
        #504; iDQ =1'b1; iDi[15:0] =16'h01F7;
2270
        #504; iDQ =1'b1; iDi[15:0] =16'h01F8;
2271
        #504; iDQ =1'b1; iDi[15:0] =16'h01F9;
2272
        #504; iDQ =1'b1; iDi[15:0] =16'h01FA;
2273
        #504; iDQ =1'b1; iDi[15:0] =16'h01FB;
2274
        #504; iDQ =1'b1; iDi[15:0] =16'h01FC;
2275
        #504; iDQ =1'b1; iDi[15:0] =16'h01FD;
2276
        #504; iDQ =1'b1; iDi[15:0] =16'h01FE;
2277
        #504; iDQ =1'b1; iDi[15:0] =16'h01FF;
2278
 
2279
        #504; iDQ =1'b1; iDi[15:0] =16'h0200;
2280
        #504; iDQ =1'b1; iDi[15:0] =16'h0201;
2281
        #504; iDQ =1'b1; iDi[15:0] =16'h0202;
2282
        #504; iDQ =1'b1; iDi[15:0] =16'h0203;
2283
        #504; iDQ =1'b1; iDi[15:0] =16'h0204;
2284
        #504; iDQ =1'b1; iDi[15:0] =16'h0205;
2285
        #504; iDQ =1'b1; iDi[15:0] =16'h0206;
2286
        #504; iDQ =1'b1; iDi[15:0] =16'h0207;
2287
        #504; iDQ =1'b1; iDi[15:0] =16'h0208;
2288
        #504; iDQ =1'b1; iDi[15:0] =16'h0209;
2289
        #504; iDQ =1'b1; iDi[15:0] =16'h020A;
2290
        #504; iDQ =1'b1; iDi[15:0] =16'h020B;
2291
        #504; iDQ =1'b1; iDi[15:0] =16'h020C;
2292
        #504; iDQ =1'b1; iDi[15:0] =16'h020D;
2293
        #504; iDQ =1'b1; iDi[15:0] =16'h020E;
2294
        #504; iDQ =1'b1; iDi[15:0] =16'h020F;
2295
        #504; iDQ =1'b1; iDi[15:0] =16'h0210;
2296
        #504; iDQ =1'b1; iDi[15:0] =16'h0211;
2297
        #504; iDQ =1'b1; iDi[15:0] =16'h0212;
2298
        #504; iDQ =1'b1; iDi[15:0] =16'h0213;
2299
        #504; iDQ =1'b1; iDi[15:0] =16'h0214;
2300
        #504; iDQ =1'b1; iDi[15:0] =16'h0215;
2301
        #504; iDQ =1'b1; iDi[15:0] =16'h0216;
2302
        #504; iDQ =1'b1; iDi[15:0] =16'h0217;
2303
        #504; iDQ =1'b1; iDi[15:0] =16'h0218;
2304
        #504; iDQ =1'b1; iDi[15:0] =16'h0219;
2305
        #504; iDQ =1'b1; iDi[15:0] =16'h021A;
2306
        #504; iDQ =1'b1; iDi[15:0] =16'h021B;
2307
        #504; iDQ =1'b1; iDi[15:0] =16'h021C;
2308
        #504; iDQ =1'b1; iDi[15:0] =16'h021D;
2309
        #504; iDQ =1'b1; iDi[15:0] =16'h021E;
2310
        #504; iDQ =1'b1; iDi[15:0] =16'h021F;
2311
        #504; iDQ =1'b1; iDi[15:0] =16'h0220;
2312
        #504; iDQ =1'b1; iDi[15:0] =16'h0221;
2313
        #504; iDQ =1'b1; iDi[15:0] =16'h0222;
2314
        #504; iDQ =1'b1; iDi[15:0] =16'h0223;
2315
        #504; iDQ =1'b1; iDi[15:0] =16'h0224;
2316
        #504; iDQ =1'b1; iDi[15:0] =16'h0225;
2317
        #504; iDQ =1'b1; iDi[15:0] =16'h0226;
2318
        #504; iDQ =1'b1; iDi[15:0] =16'h0227;
2319
        #504; iDQ =1'b1; iDi[15:0] =16'h0228;
2320
        #504; iDQ =1'b1; iDi[15:0] =16'h0229;
2321
        #504; iDQ =1'b1; iDi[15:0] =16'h022A;
2322
        #504; iDQ =1'b1; iDi[15:0] =16'h022B;
2323
        #504; iDQ =1'b1; iDi[15:0] =16'h022C;
2324
        #504; iDQ =1'b1; iDi[15:0] =16'h022D;
2325
        #504; iDQ =1'b1; iDi[15:0] =16'h022E;
2326
        #504; iDQ =1'b1; iDi[15:0] =16'h022F;
2327
        #504; iDQ =1'b1; iDi[15:0] =16'h0230;
2328
        #504; iDQ =1'b1; iDi[15:0] =16'h0231;
2329
        #504; iDQ =1'b1; iDi[15:0] =16'h0232;
2330
        #504; iDQ =1'b1; iDi[15:0] =16'h0233;
2331
        #504; iDQ =1'b1; iDi[15:0] =16'h0234;
2332
        #504; iDQ =1'b1; iDi[15:0] =16'h0235;
2333
        #504; iDQ =1'b1; iDi[15:0] =16'h0236;
2334
        #504; iDQ =1'b1; iDi[15:0] =16'h0237;
2335
        #504; iDQ =1'b1; iDi[15:0] =16'h0238;
2336
        #504; iDQ =1'b1; iDi[15:0] =16'h0239;
2337
        #504; iDQ =1'b1; iDi[15:0] =16'h023A;
2338
        #504; iDQ =1'b1; iDi[15:0] =16'h023B;
2339
        #504; iDQ =1'b1; iDi[15:0] =16'h023C;
2340
        #504; iDQ =1'b1; iDi[15:0] =16'h023D;
2341
        #504; iDQ =1'b1; iDi[15:0] =16'h023E;
2342
        #504; iDQ =1'b1; iDi[15:0] =16'h023F;
2343
        #504; iDQ =1'b1; iDi[15:0] =16'h0240;
2344
        #504; iDQ =1'b1; iDi[15:0] =16'h0241;
2345
        #504; iDQ =1'b1; iDi[15:0] =16'h0242;
2346
        #504; iDQ =1'b1; iDi[15:0] =16'h0243;
2347
        #504; iDQ =1'b1; iDi[15:0] =16'h0244;
2348
        #504; iDQ =1'b1; iDi[15:0] =16'h0245;
2349
        #504; iDQ =1'b1; iDi[15:0] =16'h0246;
2350
        #504; iDQ =1'b1; iDi[15:0] =16'h0247;
2351
        #504; iDQ =1'b1; iDi[15:0] =16'h0248;
2352
        #504; iDQ =1'b1; iDi[15:0] =16'h0249;
2353
        #504; iDQ =1'b1; iDi[15:0] =16'h024A;
2354
        #504; iDQ =1'b1; iDi[15:0] =16'h024B;
2355
        #504; iDQ =1'b1; iDi[15:0] =16'h024C;
2356
        #504; iDQ =1'b1; iDi[15:0] =16'h024D;
2357
        #504; iDQ =1'b1; iDi[15:0] =16'h024E;
2358
        #504; iDQ =1'b1; iDi[15:0] =16'h024F;
2359
        #504; iDQ =1'b1; iDi[15:0] =16'h0250;
2360
        #504; iDQ =1'b1; iDi[15:0] =16'h0251;
2361
        #504; iDQ =1'b1; iDi[15:0] =16'h0252;
2362
        #504; iDQ =1'b1; iDi[15:0] =16'h0253;
2363
        #504; iDQ =1'b1; iDi[15:0] =16'h0254;
2364
        #504; iDQ =1'b1; iDi[15:0] =16'h0255;
2365
        #504; iDQ =1'b1; iDi[15:0] =16'h0256;
2366
        #504; iDQ =1'b1; iDi[15:0] =16'h0257;
2367
        #504; iDQ =1'b1; iDi[15:0] =16'h0258;
2368
        #504; iDQ =1'b1; iDi[15:0] =16'h0259;
2369
        #504; iDQ =1'b1; iDi[15:0] =16'h025A;
2370
        #504; iDQ =1'b1; iDi[15:0] =16'h025B;
2371
        #504; iDQ =1'b1; iDi[15:0] =16'h025C;
2372
        #504; iDQ =1'b1; iDi[15:0] =16'h025D;
2373
        #504; iDQ =1'b1; iDi[15:0] =16'h025E;
2374
        #504; iDQ =1'b1; iDi[15:0] =16'h025F;
2375
        #504; iDQ =1'b1; iDi[15:0] =16'h0260;
2376
        #504; iDQ =1'b1; iDi[15:0] =16'h0261;
2377
        #504; iDQ =1'b1; iDi[15:0] =16'h0262;
2378
        #504; iDQ =1'b1; iDi[15:0] =16'h0263;
2379
        #504; iDQ =1'b1; iDi[15:0] =16'h0264;
2380
        #504; iDQ =1'b1; iDi[15:0] =16'h0265;
2381
        #504; iDQ =1'b1; iDi[15:0] =16'h0266;
2382
        #504; iDQ =1'b1; iDi[15:0] =16'h0267;
2383
        #504; iDQ =1'b1; iDi[15:0] =16'h0268;
2384
        #504; iDQ =1'b1; iDi[15:0] =16'h0269;
2385
        #504; iDQ =1'b1; iDi[15:0] =16'h026A;
2386
        #504; iDQ =1'b1; iDi[15:0] =16'h026B;
2387
        #504; iDQ =1'b1; iDi[15:0] =16'h026C;
2388
        #504; iDQ =1'b1; iDi[15:0] =16'h026D;
2389
        #504; iDQ =1'b1; iDi[15:0] =16'h026E;
2390
        #504; iDQ =1'b1; iDi[15:0] =16'h026F;
2391
        #504; iDQ =1'b1; iDi[15:0] =16'h0270;
2392
        #504; iDQ =1'b1; iDi[15:0] =16'h0271;
2393
        #504; iDQ =1'b1; iDi[15:0] =16'h0272;
2394
        #504; iDQ =1'b1; iDi[15:0] =16'h0273;
2395
        #504; iDQ =1'b1; iDi[15:0] =16'h0274;
2396
        #504; iDQ =1'b1; iDi[15:0] =16'h0275;
2397
        #504; iDQ =1'b1; iDi[15:0] =16'h0276;
2398
        #504; iDQ =1'b1; iDi[15:0] =16'h0277;
2399
        #504; iDQ =1'b1; iDi[15:0] =16'h0278;
2400
        #504; iDQ =1'b1; iDi[15:0] =16'h0279;
2401
        #504; iDQ =1'b1; iDi[15:0] =16'h027A;
2402
        #504; iDQ =1'b1; iDi[15:0] =16'h027B;
2403
        #504; iDQ =1'b1; iDi[15:0] =16'h027C;
2404
        #504; iDQ =1'b1; iDi[15:0] =16'h027D;
2405
        #504; iDQ =1'b1; iDi[15:0] =16'h027E;
2406
        #504; iDQ =1'b1; iDi[15:0] =16'h027F;
2407
        #504; iDQ =1'b1; iDi[15:0] =16'h0280;
2408
        #504; iDQ =1'b1; iDi[15:0] =16'h0281;
2409
        #504; iDQ =1'b1; iDi[15:0] =16'h0282;
2410
        #504; iDQ =1'b1; iDi[15:0] =16'h0283;
2411
        #504; iDQ =1'b1; iDi[15:0] =16'h0284;
2412
        #504; iDQ =1'b1; iDi[15:0] =16'h0285;
2413
        #504; iDQ =1'b1; iDi[15:0] =16'h0286;
2414
        #504; iDQ =1'b1; iDi[15:0] =16'h0287;
2415
        #504; iDQ =1'b1; iDi[15:0] =16'h0288;
2416
        #504; iDQ =1'b1; iDi[15:0] =16'h0289;
2417
        #504; iDQ =1'b1; iDi[15:0] =16'h028A;
2418
        #504; iDQ =1'b1; iDi[15:0] =16'h028B;
2419
        #504; iDQ =1'b1; iDi[15:0] =16'h028C;
2420
        #504; iDQ =1'b1; iDi[15:0] =16'h028D;
2421
        #504; iDQ =1'b1; iDi[15:0] =16'h028E;
2422
        #504; iDQ =1'b1; iDi[15:0] =16'h028F;
2423
        #504; iDQ =1'b1; iDi[15:0] =16'h0290;
2424
        #504; iDQ =1'b1; iDi[15:0] =16'h0291;
2425
        #504; iDQ =1'b1; iDi[15:0] =16'h0292;
2426
        #504; iDQ =1'b1; iDi[15:0] =16'h0293;
2427
        #504; iDQ =1'b1; iDi[15:0] =16'h0294;
2428
        #504; iDQ =1'b1; iDi[15:0] =16'h0295;
2429
        #504; iDQ =1'b1; iDi[15:0] =16'h0296;
2430
        #504; iDQ =1'b1; iDi[15:0] =16'h0297;
2431
        #504; iDQ =1'b1; iDi[15:0] =16'h0298;
2432
        #504; iDQ =1'b1; iDi[15:0] =16'h0299;
2433
        #504; iDQ =1'b1; iDi[15:0] =16'h029A;
2434
        #504; iDQ =1'b1; iDi[15:0] =16'h029B;
2435
        #504; iDQ =1'b1; iDi[15:0] =16'h029C;
2436
        #504; iDQ =1'b1; iDi[15:0] =16'h029D;
2437
        #504; iDQ =1'b1; iDi[15:0] =16'h029E;
2438
        #504; iDQ =1'b1; iDi[15:0] =16'h029F;
2439
        #504; iDQ =1'b1; iDi[15:0] =16'h02A0;
2440
        #504; iDQ =1'b1; iDi[15:0] =16'h02A1;
2441
        #504; iDQ =1'b1; iDi[15:0] =16'h02A2;
2442
        #504; iDQ =1'b1; iDi[15:0] =16'h02A3;
2443
        #504; iDQ =1'b1; iDi[15:0] =16'h02A4;
2444
        #504; iDQ =1'b1; iDi[15:0] =16'h02A5;
2445
        #504; iDQ =1'b1; iDi[15:0] =16'h02A6;
2446
        #504; iDQ =1'b1; iDi[15:0] =16'h02A7;
2447
        #504; iDQ =1'b1; iDi[15:0] =16'h02A8;
2448
        #504; iDQ =1'b1; iDi[15:0] =16'h02A9;
2449
        #504; iDQ =1'b1; iDi[15:0] =16'h02AA;
2450
        #504; iDQ =1'b1; iDi[15:0] =16'h02AB;
2451
        #504; iDQ =1'b1; iDi[15:0] =16'h02AC;
2452
        #504; iDQ =1'b1; iDi[15:0] =16'h02AD;
2453
        #504; iDQ =1'b1; iDi[15:0] =16'h02AE;
2454
        #504; iDQ =1'b1; iDi[15:0] =16'h02AF;
2455
        #504; iDQ =1'b1; iDi[15:0] =16'h02B0;
2456
        #504; iDQ =1'b1; iDi[15:0] =16'h02B1;
2457
        #504; iDQ =1'b1; iDi[15:0] =16'h02B2;
2458
        #504; iDQ =1'b1; iDi[15:0] =16'h02B3;
2459
        #504; iDQ =1'b1; iDi[15:0] =16'h02B4;
2460
        #504; iDQ =1'b1; iDi[15:0] =16'h02B5;
2461
        #504; iDQ =1'b1; iDi[15:0] =16'h02B6;
2462
        #504; iDQ =1'b1; iDi[15:0] =16'h02B7;
2463
        #504; iDQ =1'b1; iDi[15:0] =16'h02B8;
2464
        #504; iDQ =1'b1; iDi[15:0] =16'h02B9;
2465
        #504; iDQ =1'b1; iDi[15:0] =16'h02BA;
2466
        #504; iDQ =1'b1; iDi[15:0] =16'h02BB;
2467
        #504; iDQ =1'b1; iDi[15:0] =16'h02BC;
2468
        #504; iDQ =1'b1; iDi[15:0] =16'h02BD;
2469
        #504; iDQ =1'b1; iDi[15:0] =16'h02BE;
2470
        #504; iDQ =1'b1; iDi[15:0] =16'h02BF;
2471
        #504; iDQ =1'b1; iDi[15:0] =16'h02C0;
2472
        #504; iDQ =1'b1; iDi[15:0] =16'h02C1;
2473
        #504; iDQ =1'b1; iDi[15:0] =16'h02C2;
2474
        #504; iDQ =1'b1; iDi[15:0] =16'h02C3;
2475
        #504; iDQ =1'b1; iDi[15:0] =16'h02C4;
2476
        #504; iDQ =1'b1; iDi[15:0] =16'h02C5;
2477
        #504; iDQ =1'b1; iDi[15:0] =16'h02C6;
2478
        #504; iDQ =1'b1; iDi[15:0] =16'h02C7;
2479
        #504; iDQ =1'b1; iDi[15:0] =16'h02C8;
2480
        #504; iDQ =1'b1; iDi[15:0] =16'h02C9;
2481
        #504; iDQ =1'b1; iDi[15:0] =16'h02CA;
2482
        #504; iDQ =1'b1; iDi[15:0] =16'h02CB;
2483
        #504; iDQ =1'b1; iDi[15:0] =16'h02CC;
2484
        #504; iDQ =1'b1; iDi[15:0] =16'h02CD;
2485
        #504; iDQ =1'b1; iDi[15:0] =16'h02CE;
2486
        #504; iDQ =1'b1; iDi[15:0] =16'h02CF;
2487
        #504; iDQ =1'b1; iDi[15:0] =16'h02D0;
2488
        #504; iDQ =1'b1; iDi[15:0] =16'h02D1;
2489
        #504; iDQ =1'b1; iDi[15:0] =16'h02D2;
2490
        #504; iDQ =1'b1; iDi[15:0] =16'h02D3;
2491
        #504; iDQ =1'b1; iDi[15:0] =16'h02D4;
2492
        #504; iDQ =1'b1; iDi[15:0] =16'h02D5;
2493
        #504; iDQ =1'b1; iDi[15:0] =16'h02D6;
2494
        #504; iDQ =1'b1; iDi[15:0] =16'h02D7;
2495
        #504; iDQ =1'b1; iDi[15:0] =16'h02D8;
2496
        #504; iDQ =1'b1; iDi[15:0] =16'h02D9;
2497
        #504; iDQ =1'b1; iDi[15:0] =16'h02DA;
2498
        #504; iDQ =1'b1; iDi[15:0] =16'h02DB;
2499
        #504; iDQ =1'b1; iDi[15:0] =16'h02DC;
2500
        #504; iDQ =1'b1; iDi[15:0] =16'h02DD;
2501
        #504; iDQ =1'b1; iDi[15:0] =16'h02DE;
2502
        #504; iDQ =1'b1; iDi[15:0] =16'h02DF;
2503
        #504; iDQ =1'b1; iDi[15:0] =16'h02E0;
2504
        #504; iDQ =1'b1; iDi[15:0] =16'h02E1;
2505
        #504; iDQ =1'b1; iDi[15:0] =16'h02E2;
2506
        #504; iDQ =1'b1; iDi[15:0] =16'h02E3;
2507
        #504; iDQ =1'b1; iDi[15:0] =16'h02E4;
2508
        #504; iDQ =1'b1; iDi[15:0] =16'h02E5;
2509
        #504; iDQ =1'b1; iDi[15:0] =16'h02E6;
2510
        #504; iDQ =1'b1; iDi[15:0] =16'h02E7;
2511
        #504; iDQ =1'b1; iDi[15:0] =16'h02E8;
2512
        #504; iDQ =1'b1; iDi[15:0] =16'h02E9;
2513
        #504; iDQ =1'b1; iDi[15:0] =16'h02EA;
2514
        #504; iDQ =1'b1; iDi[15:0] =16'h02EB;
2515
        #504; iDQ =1'b1; iDi[15:0] =16'h02EC;
2516
        #504; iDQ =1'b1; iDi[15:0] =16'h02ED;
2517
        #504; iDQ =1'b1; iDi[15:0] =16'h02EE;
2518
        #504; iDQ =1'b1; iDi[15:0] =16'h02EF;
2519
        #504; iDQ =1'b1; iDi[15:0] =16'h02F0;
2520
        #504; iDQ =1'b1; iDi[15:0] =16'h02F1;
2521
        #504; iDQ =1'b1; iDi[15:0] =16'h02F2;
2522
        #504; iDQ =1'b1; iDi[15:0] =16'h02F3;
2523
        #504; iDQ =1'b1; iDi[15:0] =16'h02F4;
2524
        #504; iDQ =1'b1; iDi[15:0] =16'h02F5;
2525
        #504; iDQ =1'b1; iDi[15:0] =16'h02F6;
2526
        #504; iDQ =1'b1; iDi[15:0] =16'h02F7;
2527
        #504; iDQ =1'b1; iDi[15:0] =16'h02F8;
2528
        #504; iDQ =1'b1; iDi[15:0] =16'h02F9;
2529
        #504; iDQ =1'b1; iDi[15:0] =16'h02FA;
2530
        #504; iDQ =1'b1; iDi[15:0] =16'h02FB;
2531
        #504; iDQ =1'b1; iDi[15:0] =16'h02FC;
2532
        #504; iDQ =1'b1; iDi[15:0] =16'h02FD;
2533
        #504; iDQ =1'b1; iDi[15:0] =16'h02FE;
2534
        #504; iDQ =1'b1; iDi[15:0] =16'h02FF;
2535
        #170; iDQ =1'b0;
2536
        #174;   iDi[15:0] =16'hZZZZ;
2537
        #160;
2538
//- start of data burst ======================================
2539
        #414; cDK =1'b1; bCRT =1'b0;    // start reading another DMA here
2540
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2541
        #896; bcRd =1'b1;
2542
        # 70; cDK =1'b0; bCRT =1'b1;
2543
        #280; cDK =1'b1; bCRT =1'b0;    // start reading another DMA here
2544
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2545
        #896; bcRd =1'b1;
2546
        # 70; cDK =1'b0; bCRT =1'b1;
2547
        #280; cDK =1'b1; bCRT =1'b0;    // start reading another DMA here
2548
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2549
        #896; bcRd =1'b1;
2550
        # 70; cDK =1'b0; bCRT =1'b1;
2551
        #280; cDK =1'b1; bCRT =1'b0;    // start reading another DMA here
2552
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2553
        #896; bcRd =1'b1;
2554
        # 70; cDK =1'b0; bCRT =1'b1;
2555
        #280; cDK =1'b1; bCRT =1'b0;    // start reading another DMA here
2556
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2557
        # 56; bcRd =1'b1;
2558
        # 56; bcRd =1'b0;
2559
        #840; bcRd =1'b1;
2560
        # 70; cDK =1'b0; bCRT =1'b1;
2561
        #280; cDK =1'b1; bCRT =1'b0;    // start reading another DMA here
2562
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2563
        #896; bcRd =1'b1;
2564
        # 70; cDK =1'b0; bCRT =1'b1;
2565
        #280; cDK =1'b1; bCRT =1'b0;    // start reading another DMA here
2566
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2567
        #896; bcRd =1'b1;
2568
        # 70; cDK =1'b0; bCRT =1'b1;
2569
        #280; cDK =1'b1; bCRT =1'b0;    // start reading another DMA here
2570
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
2571
        #868;
2572
        # 28; bcRd =1'b1;
2573
        # 70; cDK =1'b0; bCRT =1'b1;
2574
        # 21;
2575
//// =====================================================
2576
        #280;
2577
//// =====================================================
2578
//////vvvvvvvvvvvvvvvvvvvvvvv
2579
        #112; cAPi[15:0] =16'h0038;      cDPi[15:0] =16'h0003; // write 0038(0003)
2580
        # 14; bcCS =1'b0;
2581
        # 14; bcRd =1'b1; bcWr =1'b0;
2582
        #196; bcRd =1'b1; bcWr =1'b1;
2583
        # 14; bcCS =1'b1;
2584
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2585
        #112; cAPi[15:0] =16'h0064;      cDPi[15:0] =16'h004E; // write 0064(004E)
2586
        # 14; bcCS =1'b0;
2587
        # 14; bcRd =1'b1; bcWr =1'b0;
2588
        #196; bcRd =1'b1; bcWr =1'b1;
2589
        # 14; bcCS =1'b1;
2590
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2591
////- ====set Multi-DMA mode 1
2592
        #112; cAPi[15:0] =16'h0042;      cDPi[15:0] =16'h0003; // write 0042(0003)
2593
        # 14; bcCS =1'b0;
2594
        # 14; bcRd =1'b1; bcWr =1'b0;
2595
        #196; bcRd =1'b1; bcWr =1'b1;
2596
        # 14; bcCS =1'b1;
2597
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2598
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0022; // write 0044(0022) MDMA-MODE1
2599
        # 14; bcCS =1'b0;
2600
        # 14; bcRd =1'b1; bcWr =1'b0;
2601
        #196; bcRd =1'b1; bcWr =1'b1;
2602
        # 14; bcCS =1'b1;
2603
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2604
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00EF; // write 004E(00EF)
2605
        # 14; bcCS =1'b0;
2606
        # 14; bcRd =1'b1; bcWr =1'b0;
2607
        #196; bcRd =1'b1; bcWr =1'b1;
2608
        # 14; bcCS =1'b1;
2609
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2610
////// ====================================
2611
        #112; cAPi[15:0] =16'h0032;      cDPi[15:0] =16'h0086; // write 0032(0086)
2612
        # 14; bcCS =1'b0;
2613
        # 14; bcRd =1'b1; bcWr =1'b0;
2614
        #196; bcRd =1'b1; bcWr =1'b1;
2615
        # 14; bcCS =1'b1;
2616
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2617
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0001; // write 0044(0001)
2618
        # 14; bcCS =1'b0;
2619
        # 14; bcRd =1'b1; bcWr =1'b0;
2620
        #196; bcRd =1'b1; bcWr =1'b1;
2621
        # 14; bcCS =1'b1;
2622
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2623
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00CA; // write 004E(00CA)
2624
        # 14; bcCS =1'b0;
2625
        # 14; bcRd =1'b1; bcWr =1'b0;
2626
        #196; bcRd =1'b1; bcWr =1'b1;
2627
        # 14; bcCS =1'b1;
2628
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2629
        #112; cAPi[15:0] =16'h0024;      cDPi[15:0] =16'h0006; // write 0024(0006)
2630
        # 14; bcCS =1'b0;
2631
        # 14; bcRd =1'b1; bcWr =1'b0;
2632
        #196; bcRd =1'b1; bcWr =1'b1;
2633
        # 14; bcCS =1'b1;
2634
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2635
        #112; cAPi[15:0] =16'h002E;      cDPi[15:0] =16'h0001; // write 002E(0001)
2636
        # 14; bcCS =1'b0;
2637
        # 14; bcRd =1'b1; bcWr =1'b0;
2638
        #196; bcRd =1'b1; bcWr =1'b1;
2639
        # 14; bcCS =1'b1;
2640
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2641
        #112; cAPi[15:0] =16'h002E;      cDPi[15:0] =16'h0000; // write 002E(0000)
2642
        # 14; bcCS =1'b0;
2643
        # 14; bcRd =1'b1; bcWr =1'b0;
2644
        #196; bcRd =1'b1; bcWr =1'b1;
2645
        # 14; bcCS =1'b1;
2646
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2647
//////////// =========================
2648
        #154; iDQ =1'b1; iDi[15:0] =16'h0800; cAPi[15:0] =16'hZZZZ;
2649
        #154; iDQ =1'b1; iDi[15:0] =16'h0801;
2650
        #154; iDQ =1'b1; iDi[15:0] =16'h0802;
2651
        #154; iDQ =1'b1; iDi[15:0] =16'h0803;
2652
        #154; iDQ =1'b1; iDi[15:0] =16'h0804;
2653
        #154; iDQ =1'b1; iDi[15:0] =16'h0805;
2654
        #154; iDQ =1'b1; iDi[15:0] =16'h0806;
2655
        #154; iDQ =1'b1; iDi[15:0] =16'h0807;
2656
        #154; iDQ =1'b1; iDi[15:0] =16'h0808;
2657
        #154; iDQ =1'b1; iDi[15:0] =16'h0809;
2658
        #154; iDQ =1'b1; iDi[15:0] =16'h080A;
2659
        #154; iDQ =1'b1; iDi[15:0] =16'h080B;
2660
        #154; iDQ =1'b1; iDi[15:0] =16'h080C;
2661
        #154; iDQ =1'b1; iDi[15:0] =16'h080D;
2662
        #154; iDQ =1'b1; iDi[15:0] =16'h080E;
2663
        #154; iDQ =1'b1; iDi[15:0] =16'h080F;
2664
        #154; iDQ =1'b1; iDi[15:0] =16'h0810;
2665
        #154; iDQ =1'b1; iDi[15:0] =16'h0811;
2666
        #154; iDQ =1'b1; iDi[15:0] =16'h0812;
2667
        #154; iDQ =1'b1; iDi[15:0] =16'h0813;
2668
        #154; iDQ =1'b1; iDi[15:0] =16'h0814;
2669
        #154; iDQ =1'b1; iDi[15:0] =16'h0815;
2670
        #154; iDQ =1'b1; iDi[15:0] =16'h0816;
2671
        #154; iDQ =1'b1; iDi[15:0] =16'h0817;
2672
        #154; iDQ =1'b1; iDi[15:0] =16'h0818;
2673
        #154; iDQ =1'b1; iDi[15:0] =16'h0819;
2674
        #154; iDQ =1'b1; iDi[15:0] =16'h081A;
2675
        #154; iDQ =1'b1; iDi[15:0] =16'h081B;
2676
        #154; iDQ =1'b1; iDi[15:0] =16'h081C;
2677
        #154; iDQ =1'b1; iDi[15:0] =16'h081D;
2678
        #154; iDQ =1'b1; iDi[15:0] =16'h081E;
2679
        #154; iDQ =1'b1; iDi[15:0] =16'h081F;
2680
        #154; iDQ =1'b1; iDi[15:0] =16'h0820;
2681
        #154; iDQ =1'b1; iDi[15:0] =16'h0821;
2682
        #154; iDQ =1'b1; iDi[15:0] =16'h0822;
2683
        #154; iDQ =1'b1; iDi[15:0] =16'h0823;
2684
        #154; iDQ =1'b1; iDi[15:0] =16'h0824;
2685
        #154; iDQ =1'b1; iDi[15:0] =16'h0825;
2686
        #154; iDQ =1'b1; iDi[15:0] =16'h0826;
2687
        #154; iDQ =1'b1; iDi[15:0] =16'h0827;
2688
        #154; iDQ =1'b1; iDi[15:0] =16'h0828;
2689
        #154; iDQ =1'b1; iDi[15:0] =16'h0829;
2690
        #154; iDQ =1'b1; iDi[15:0] =16'h082A;
2691
        #154; iDQ =1'b1; iDi[15:0] =16'h082B;
2692
        #154; iDQ =1'b1; iDi[15:0] =16'h082C;
2693
        #154; iDQ =1'b1; iDi[15:0] =16'h082D;
2694
        #154; iDQ =1'b1; iDi[15:0] =16'h082E;
2695
        #154; iDQ =1'b1; iDi[15:0] =16'h082F;
2696
        #154; iDQ =1'b1; iDi[15:0] =16'h0830;
2697
        #154; iDQ =1'b1; iDi[15:0] =16'h0831;
2698
        #154; iDQ =1'b1; iDi[15:0] =16'h0832;
2699
        #154; iDQ =1'b1; iDi[15:0] =16'h0833;
2700
        #154; iDQ =1'b1; iDi[15:0] =16'h0834;
2701
        #154; iDQ =1'b1; iDi[15:0] =16'h0835;
2702
        #154; iDQ =1'b1; iDi[15:0] =16'h0836;
2703
        #154; iDQ =1'b1; iDi[15:0] =16'h0837;
2704
        #154; iDQ =1'b1; iDi[15:0] =16'h0838;
2705
        #154; iDQ =1'b1; iDi[15:0] =16'h0839;
2706
        #154; iDQ =1'b1; iDi[15:0] =16'h083A;
2707
        #154; iDQ =1'b1; iDi[15:0] =16'h083B;
2708
        #154; iDQ =1'b1; iDi[15:0] =16'h083C;
2709
        #154; iDQ =1'b1; iDi[15:0] =16'h083D;
2710
        #154; iDQ =1'b1; iDi[15:0] =16'h083E;
2711
        #154; iDQ =1'b1; iDi[15:0] =16'h083F;
2712
        #154; iDQ =1'b1; iDi[15:0] =16'h0840;
2713
        #154; iDQ =1'b1; iDi[15:0] =16'h0841;
2714
        #154; iDQ =1'b1; iDi[15:0] =16'h0842;
2715
        #154; iDQ =1'b1; iDi[15:0] =16'h0843;
2716
        #154; iDQ =1'b1; iDi[15:0] =16'h0844;
2717
        #154; iDQ =1'b1; iDi[15:0] =16'h0845;
2718
        #154; iDQ =1'b1; iDi[15:0] =16'h0846;
2719
        #154; iDQ =1'b1; iDi[15:0] =16'h0847;
2720
        #154; iDQ =1'b1; iDi[15:0] =16'h0848;
2721
        #154; iDQ =1'b1; iDi[15:0] =16'h0849;
2722
        #154; iDQ =1'b1; iDi[15:0] =16'h084A;
2723
        #154; iDQ =1'b1; iDi[15:0] =16'h084B;
2724
        #154; iDQ =1'b1; iDi[15:0] =16'h084C;
2725
        #154; iDQ =1'b1; iDi[15:0] =16'h084D;
2726
        #154; iDQ =1'b1; iDi[15:0] =16'h084E;
2727
        #154; iDQ =1'b1; iDi[15:0] =16'h084F;
2728
        #154; iDQ =1'b1; iDi[15:0] =16'h0850;
2729
        #154; iDQ =1'b1; iDi[15:0] =16'h0851;
2730
        #154; iDQ =1'b1; iDi[15:0] =16'h0852;
2731
        #154; iDQ =1'b1; iDi[15:0] =16'h0853;
2732
        #154; iDQ =1'b1; iDi[15:0] =16'h0854;
2733
        #154; iDQ =1'b1; iDi[15:0] =16'h0855;
2734
        #154; iDQ =1'b1; iDi[15:0] =16'h0856;
2735
        #154; iDQ =1'b1; iDi[15:0] =16'h0857;
2736
        #154; iDQ =1'b1; iDi[15:0] =16'h0858;
2737
        #154; iDQ =1'b1; iDi[15:0] =16'h0859;
2738
        #154; iDQ =1'b1; iDi[15:0] =16'h085A;
2739
        #154; iDQ =1'b1; iDi[15:0] =16'h085B;
2740
        #154; iDQ =1'b1; iDi[15:0] =16'h085C;
2741
        #154; iDQ =1'b1; iDi[15:0] =16'h085D;
2742
        #154; iDQ =1'b1; iDi[15:0] =16'h085E;
2743
        #154; iDQ =1'b1; iDi[15:0] =16'h085F;
2744
        #154; iDQ =1'b1; iDi[15:0] =16'h0860;
2745
        #154; iDQ =1'b1; iDi[15:0] =16'h0861;
2746
        #154; iDQ =1'b1; iDi[15:0] =16'h0862;
2747
        #154; iDQ =1'b1; iDi[15:0] =16'h0863;
2748
        #154; iDQ =1'b1; iDi[15:0] =16'h0864;
2749
        #154; iDQ =1'b1; iDi[15:0] =16'h0865;
2750
        #154; iDQ =1'b1; iDi[15:0] =16'h0866;
2751
        #154; iDQ =1'b1; iDi[15:0] =16'h0867;
2752
        #154; iDQ =1'b1; iDi[15:0] =16'h0868;
2753
        #154; iDQ =1'b1; iDi[15:0] =16'h0869;
2754
        #154; iDQ =1'b1; iDi[15:0] =16'h086A;
2755
        #154; iDQ =1'b1; iDi[15:0] =16'h086B;
2756
        #154; iDQ =1'b1; iDi[15:0] =16'h086C;
2757
        #154; iDQ =1'b1; iDi[15:0] =16'h086D;
2758
        #154; iDQ =1'b1; iDi[15:0] =16'h086E;
2759
        #154; iDQ =1'b1; iDi[15:0] =16'h086F;
2760
        #154; iDQ =1'b1; iDi[15:0] =16'h0870;
2761
        #154; iDQ =1'b1; iDi[15:0] =16'h0871;
2762
        #154; iDQ =1'b1; iDi[15:0] =16'h0872;
2763
        #154; iDQ =1'b1; iDi[15:0] =16'h0873;
2764
        #154; iDQ =1'b1; iDi[15:0] =16'h0874;
2765
        #154; iDQ =1'b1; iDi[15:0] =16'h0875;
2766
        #154; iDQ =1'b1; iDi[15:0] =16'h0876;
2767
        #154; iDQ =1'b1; iDi[15:0] =16'h0877;
2768
        #154; iDQ =1'b1; iDi[15:0] =16'h0878;
2769
        #154; iDQ =1'b1; iDi[15:0] =16'h0879;
2770
        #154; iDQ =1'b1; iDi[15:0] =16'h087A;
2771
        #154; iDQ =1'b1; iDi[15:0] =16'h087B;
2772
        #154; iDQ =1'b1; iDi[15:0] =16'h087C;
2773
        #154; iDQ =1'b1; iDi[15:0] =16'h087D;
2774
        #154; iDQ =1'b1; iDi[15:0] =16'h087E;
2775
        #154; iDQ =1'b1; iDi[15:0] =16'h087F;
2776
        #154; iDQ =1'b1; iDi[15:0] =16'h0880;
2777
        #154; iDQ =1'b1; iDi[15:0] =16'h0881;
2778
        #154; iDQ =1'b1; iDi[15:0] =16'h0882;
2779
        #154; iDQ =1'b1; iDi[15:0] =16'h0883;
2780
        #154; iDQ =1'b1; iDi[15:0] =16'h0884;
2781
        #154; iDQ =1'b1; iDi[15:0] =16'h0885;
2782
        #154; iDQ =1'b1; iDi[15:0] =16'h0886;
2783
        #154; iDQ =1'b1; iDi[15:0] =16'h0887;
2784
        #154; iDQ =1'b1; iDi[15:0] =16'h0888;
2785
        #154; iDQ =1'b1; iDi[15:0] =16'h0889;
2786
        #154; iDQ =1'b1; iDi[15:0] =16'h088A;
2787
        #154; iDQ =1'b1; iDi[15:0] =16'h088B;
2788
        #154; iDQ =1'b1; iDi[15:0] =16'h088C;
2789
        #154; iDQ =1'b1; iDi[15:0] =16'h088D;
2790
        #154; iDQ =1'b1; iDi[15:0] =16'h088E;
2791
        #154; iDQ =1'b1; iDi[15:0] =16'h088F;
2792
        #154; iDQ =1'b1; iDi[15:0] =16'h0890;
2793
        #154; iDQ =1'b1; iDi[15:0] =16'h0891;
2794
        #154; iDQ =1'b1; iDi[15:0] =16'h0892;
2795
        #154; iDQ =1'b1; iDi[15:0] =16'h0893;
2796
        #154; iDQ =1'b1; iDi[15:0] =16'h0894;
2797
        #154; iDQ =1'b1; iDi[15:0] =16'h0895;
2798
        #154; iDQ =1'b1; iDi[15:0] =16'h0896;
2799
        #154; iDQ =1'b1; iDi[15:0] =16'h0897;
2800
        #154; iDQ =1'b1; iDi[15:0] =16'h0898;
2801
        #154; iDQ =1'b1; iDi[15:0] =16'h0899;
2802
        #154; iDQ =1'b1; iDi[15:0] =16'h089A;
2803
        #154; iDQ =1'b1; iDi[15:0] =16'h089B;
2804
        #154; iDQ =1'b1; iDi[15:0] =16'h089C;
2805
        #154; iDQ =1'b1; iDi[15:0] =16'h089D;
2806
        #154; iDQ =1'b1; iDi[15:0] =16'h089E;
2807
        #154; iDQ =1'b1; iDi[15:0] =16'h089F;
2808
        #154; iDQ =1'b1; iDi[15:0] =16'h08A0;
2809
        #154; iDQ =1'b1; iDi[15:0] =16'h08A1;
2810
        #154; iDQ =1'b1; iDi[15:0] =16'h08A2;
2811
        #154; iDQ =1'b1; iDi[15:0] =16'h08A3;
2812
        #154; iDQ =1'b1; iDi[15:0] =16'h08A4;
2813
        #154; iDQ =1'b1; iDi[15:0] =16'h08A5;
2814
        #154; iDQ =1'b1; iDi[15:0] =16'h08A6;
2815
        #154; iDQ =1'b1; iDi[15:0] =16'h08A7;
2816
        #154; iDQ =1'b1; iDi[15:0] =16'h08A8;
2817
        #154; iDQ =1'b1; iDi[15:0] =16'h08A9;
2818
        #154; iDQ =1'b1; iDi[15:0] =16'h08AA;
2819
        #154; iDQ =1'b1; iDi[15:0] =16'h08AB;
2820
        #154; iDQ =1'b1; iDi[15:0] =16'h08AC;
2821
        #154; iDQ =1'b1; iDi[15:0] =16'h08AD;
2822
        #154; iDQ =1'b1; iDi[15:0] =16'h08AE;
2823
        #154; iDQ =1'b1; iDi[15:0] =16'h08AF;
2824
        #154; iDQ =1'b1; iDi[15:0] =16'h08B0;
2825
        #154; iDQ =1'b1; iDi[15:0] =16'h08B1;
2826
        #154; iDQ =1'b1; iDi[15:0] =16'h08B2;
2827
        #154; iDQ =1'b1; iDi[15:0] =16'h08B3;
2828
        #154; iDQ =1'b1; iDi[15:0] =16'h08B4;
2829
        #154; iDQ =1'b1; iDi[15:0] =16'h08B5;
2830
        #154; iDQ =1'b1; iDi[15:0] =16'h08B6;
2831
        #154; iDQ =1'b1; iDi[15:0] =16'h08B7;
2832
        #154; iDQ =1'b1; iDi[15:0] =16'h08B8;
2833
        #154; iDQ =1'b1; iDi[15:0] =16'h08B9;
2834
        #154; iDQ =1'b1; iDi[15:0] =16'h08BA;
2835
        #154; iDQ =1'b1; iDi[15:0] =16'h08BB;
2836
        #154; iDQ =1'b1; iDi[15:0] =16'h08BC;
2837
        #154; iDQ =1'b1; iDi[15:0] =16'h08BD;
2838
        #154; iDQ =1'b1; iDi[15:0] =16'h08BE;
2839
        #154; iDQ =1'b1; iDi[15:0] =16'h08BF;
2840
        #154; iDQ =1'b1; iDi[15:0] =16'h08C0;
2841
        #154; iDQ =1'b1; iDi[15:0] =16'h08C1;
2842
        #154; iDQ =1'b1; iDi[15:0] =16'h08C2;
2843
        #154; iDQ =1'b1; iDi[15:0] =16'h08C3;
2844
        #154; iDQ =1'b1; iDi[15:0] =16'h08C4;
2845
        #154; iDQ =1'b1; iDi[15:0] =16'h08C5;
2846
        #154; iDQ =1'b1; iDi[15:0] =16'h08C6;
2847
        #154; iDQ =1'b1; iDi[15:0] =16'h08C7;
2848
        #154; iDQ =1'b1; iDi[15:0] =16'h08C8;
2849
        #154; iDQ =1'b1; iDi[15:0] =16'h08C9;
2850
        #154; iDQ =1'b1; iDi[15:0] =16'h08CA;
2851
        #154; iDQ =1'b1; iDi[15:0] =16'h08CB;
2852
        #154; iDQ =1'b1; iDi[15:0] =16'h08CC;
2853
        #154; iDQ =1'b1; iDi[15:0] =16'h08CD;
2854
        #154; iDQ =1'b1; iDi[15:0] =16'h08CE;
2855
        #154; iDQ =1'b1; iDi[15:0] =16'h08CF;
2856
        #154; iDQ =1'b1; iDi[15:0] =16'h08D0;
2857
        #154; iDQ =1'b1; iDi[15:0] =16'h08D1;
2858
        #154; iDQ =1'b1; iDi[15:0] =16'h08D2;
2859
        #154; iDQ =1'b1; iDi[15:0] =16'h08D3;
2860
        #154; iDQ =1'b1; iDi[15:0] =16'h08D4;
2861
        #154; iDQ =1'b1; iDi[15:0] =16'h08D5;
2862
        #154; iDQ =1'b1; iDi[15:0] =16'h08D6;
2863
        #154; iDQ =1'b1; iDi[15:0] =16'h08D7;
2864
        #154; iDQ =1'b1; iDi[15:0] =16'h08D8;
2865
        #154; iDQ =1'b1; iDi[15:0] =16'h08D9;
2866
        #154; iDQ =1'b1; iDi[15:0] =16'h08DA;
2867
        #154; iDQ =1'b1; iDi[15:0] =16'h08DB;
2868
        #154; iDQ =1'b1; iDi[15:0] =16'h08DC;
2869
        #154; iDQ =1'b1; iDi[15:0] =16'h08DD;
2870
        #154; iDQ =1'b1; iDi[15:0] =16'h08DE;
2871
        #154; iDQ =1'b1; iDi[15:0] =16'h08DF;
2872
        #154; iDQ =1'b1; iDi[15:0] =16'h08E0;
2873
        #154; iDQ =1'b1; iDi[15:0] =16'h08E1;
2874
        #154; iDQ =1'b1; iDi[15:0] =16'h08E2;
2875
        #154; iDQ =1'b1; iDi[15:0] =16'h08E3;
2876
        #154; iDQ =1'b1; iDi[15:0] =16'h08E4;
2877
        #154; iDQ =1'b1; iDi[15:0] =16'h08E5;
2878
        #154; iDQ =1'b1; iDi[15:0] =16'h08E6;
2879
        #154; iDQ =1'b1; iDi[15:0] =16'h08E7;
2880
        #154; iDQ =1'b1; iDi[15:0] =16'h08E8;
2881
        #154; iDQ =1'b1; iDi[15:0] =16'h08E9;
2882
        #154; iDQ =1'b1; iDi[15:0] =16'h08EA;
2883
        #154; iDQ =1'b1; iDi[15:0] =16'h08EB;
2884
        #154; iDQ =1'b1; iDi[15:0] =16'h08EC;
2885
        #154; iDQ =1'b1; iDi[15:0] =16'h08ED;
2886
        #154; iDQ =1'b1; iDi[15:0] =16'h08EE;
2887
        #154; iDQ =1'b1; iDi[15:0] =16'h08EF;
2888
        #154; iDQ =1'b1; iDi[15:0] =16'h08F0;
2889
        #154; iDQ =1'b1; iDi[15:0] =16'h08F1;
2890
        #154; iDQ =1'b1; iDi[15:0] =16'h08F2;
2891
        #154; iDQ =1'b1; iDi[15:0] =16'h08F3;
2892
        #154; iDQ =1'b1; iDi[15:0] =16'h08F4;
2893
        #154; iDQ =1'b1; iDi[15:0] =16'h08F5;
2894
        #154; iDQ =1'b1; iDi[15:0] =16'h08F6;
2895
        #154; iDQ =1'b1; iDi[15:0] =16'h08F7;
2896
        #154; iDQ =1'b1; iDi[15:0] =16'h08F8;
2897
        #154; iDQ =1'b1; iDi[15:0] =16'h08F9;
2898
        #154; iDQ =1'b1; iDi[15:0] =16'h08FA;
2899
        #154; iDQ =1'b1; iDi[15:0] =16'h08FB;
2900
        #154; iDQ =1'b1; iDi[15:0] =16'h08FC;
2901
        #154; iDQ =1'b1; iDi[15:0] =16'h08FD;
2902
        #154; iDQ =1'b1; iDi[15:0] =16'h08FE;
2903
        #154; iDQ =1'b1; iDi[15:0] =16'h08FF;
2904
        #84; iDQ =1'b0;                 // stop for the time being
2905
        #84; iDi[15:0] =16'hZZZZ;                        // disable bus drive
2906
 
2907
////- ====set multi DMA mode 2
2908
        #112; cAPi[15:0] =16'h0042;      cDPi[15:0] =16'h0003; // write 0042(0003)
2909
        # 14; bcCS =1'b0;
2910
        # 14; bcRd =1'b1; bcWr =1'b0;
2911
        #196; bcRd =1'b1; bcWr =1'b1;
2912
        # 14; bcCS =1'b1;
2913
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2914
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0024; // write 0044(0024) MDMA - MODE2
2915
        # 14; bcCS =1'b0;
2916
        # 14; bcRd =1'b1; bcWr =1'b0;
2917
        #196; bcRd =1'b1; bcWr =1'b1;
2918
        # 14; bcCS =1'b1;
2919
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2920
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00EF; // write 004E(00EF)
2921
        # 14; bcCS =1'b0;
2922
        # 14; bcRd =1'b1; bcWr =1'b0;
2923
        #196; bcRd =1'b1; bcWr =1'b1;
2924
        # 14; bcCS =1'b1;
2925
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
2926
////////- =============
2927
 
2928
        #126; iDQ =1'b1;
2929
        #40;                            iDi[15:0] =16'h0900;
2930
        #126; iDQ =1'b1; iDi[15:0] =16'h0901;
2931
        #126; iDQ =1'b1; iDi[15:0] =16'h0902;
2932
        #126; iDQ =1'b1; iDi[15:0] =16'h0903;
2933
        #126; iDQ =1'b1; iDi[15:0] =16'h0904;
2934
        #126; iDQ =1'b1; iDi[15:0] =16'h0905;
2935
        #126; iDQ =1'b1; iDi[15:0] =16'h0906;
2936
        #126; iDQ =1'b1; iDi[15:0] =16'h0907;
2937
        #126; iDQ =1'b1; iDi[15:0] =16'h0908;
2938
        #126; iDQ =1'b1; iDi[15:0] =16'h0909;
2939
        #126; iDQ =1'b1; iDi[15:0] =16'h090A;
2940
        #126; iDQ =1'b1; iDi[15:0] =16'h090B;
2941
        #126; iDQ =1'b1; iDi[15:0] =16'h090C;
2942
        #126; iDQ =1'b1; iDi[15:0] =16'h090D;
2943
        #126; iDQ =1'b1; iDi[15:0] =16'h090E;
2944
        #126; iDQ =1'b1; iDi[15:0] =16'h090F;
2945
        #126; iDQ =1'b1; iDi[15:0] =16'h0910;
2946
        #126; iDQ =1'b1; iDi[15:0] =16'h0911;
2947
        #126; iDQ =1'b1; iDi[15:0] =16'h0912;
2948
        #126; iDQ =1'b1; iDi[15:0] =16'h0913;
2949
        #126; iDQ =1'b1; iDi[15:0] =16'h0914;
2950
        #126; iDQ =1'b1; iDi[15:0] =16'h0915;
2951
        #126; iDQ =1'b1; iDi[15:0] =16'h0916;
2952
        #126; iDQ =1'b1; iDi[15:0] =16'h0917;
2953
        #126; iDQ =1'b1; iDi[15:0] =16'h0918;
2954
        #126; iDQ =1'b1; iDi[15:0] =16'h0919;
2955
        #126; iDQ =1'b1; iDi[15:0] =16'h091A;
2956
        #126; iDQ =1'b1; iDi[15:0] =16'h091B;
2957
        #126; iDQ =1'b1; iDi[15:0] =16'h091C;
2958
        #126; iDQ =1'b1; iDi[15:0] =16'h091D;
2959
        #126; iDQ =1'b1; iDi[15:0] =16'h091E;
2960
        #126; iDQ =1'b1; iDi[15:0] =16'h091F;
2961
        #126; iDQ =1'b1; iDi[15:0] =16'h0920;
2962
        #126; iDQ =1'b1; iDi[15:0] =16'h0921;
2963
        #126; iDQ =1'b1; iDi[15:0] =16'h0922;
2964
        #126; iDQ =1'b1; iDi[15:0] =16'h0923;
2965
        #126; iDQ =1'b1; iDi[15:0] =16'h0924;
2966
        #126; iDQ =1'b1; iDi[15:0] =16'h0925;
2967
        #126; iDQ =1'b1; iDi[15:0] =16'h0926;
2968
        #126; iDQ =1'b1; iDi[15:0] =16'h0927;
2969
        #126; iDQ =1'b1; iDi[15:0] =16'h0928;
2970
        #126; iDQ =1'b1; iDi[15:0] =16'h0929;
2971
        #126; iDQ =1'b1; iDi[15:0] =16'h092A;
2972
        #126; iDQ =1'b1; iDi[15:0] =16'h092B;
2973
        #126; iDQ =1'b1; iDi[15:0] =16'h092C;
2974
        #126; iDQ =1'b1; iDi[15:0] =16'h092D;
2975
        #126; iDQ =1'b1; iDi[15:0] =16'h092E;
2976
        #126; iDQ =1'b1; iDi[15:0] =16'h092F;
2977
        #126; iDQ =1'b1; iDi[15:0] =16'h0930;
2978
        #126; iDQ =1'b1; iDi[15:0] =16'h0931;
2979
        #126; iDQ =1'b1; iDi[15:0] =16'h0932;
2980
        #126; iDQ =1'b1; iDi[15:0] =16'h0933;
2981
        #126; iDQ =1'b1; iDi[15:0] =16'h0934;
2982
        #126; iDQ =1'b1; iDi[15:0] =16'h0935;
2983
        #126; iDQ =1'b1; iDi[15:0] =16'h0936;
2984
        #126; iDQ =1'b1; iDi[15:0] =16'h0937;
2985
        #126; iDQ =1'b1; iDi[15:0] =16'h0938;
2986
        #126; iDQ =1'b1; iDi[15:0] =16'h0939;
2987
        #126; iDQ =1'b1; iDi[15:0] =16'h093A;
2988
        #126; iDQ =1'b1; iDi[15:0] =16'h093B;
2989
        #126; iDQ =1'b1; iDi[15:0] =16'h093C;
2990
        #126; iDQ =1'b1; iDi[15:0] =16'h093D;
2991
        #126; iDQ =1'b1; iDi[15:0] =16'h093E;
2992
        #126; iDQ =1'b1; iDi[15:0] =16'h093F;
2993
        #126; iDQ =1'b1; iDi[15:0] =16'h0940;
2994
        #126; iDQ =1'b1; iDi[15:0] =16'h0941;
2995
        #126; iDQ =1'b1; iDi[15:0] =16'h0942;
2996
        #126; iDQ =1'b1; iDi[15:0] =16'h0943;
2997
        #126; iDQ =1'b1; iDi[15:0] =16'h0944;
2998
        #126; iDQ =1'b1; iDi[15:0] =16'h0945;
2999
        #126; iDQ =1'b1; iDi[15:0] =16'h0946;
3000
        #126; iDQ =1'b1; iDi[15:0] =16'h0947;
3001
        #126; iDQ =1'b1; iDi[15:0] =16'h0948;
3002
        #126; iDQ =1'b1; iDi[15:0] =16'h0949;
3003
        #126; iDQ =1'b1; iDi[15:0] =16'h094A;
3004
        #126; iDQ =1'b1; iDi[15:0] =16'h094B;
3005
        #126; iDQ =1'b1; iDi[15:0] =16'h094C;
3006
        #126; iDQ =1'b1; iDi[15:0] =16'h094D;
3007
        #126; iDQ =1'b1; iDi[15:0] =16'h094E;
3008
        #126; iDQ =1'b1; iDi[15:0] =16'h094F;
3009
        #126; iDQ =1'b1; iDi[15:0] =16'h0950;
3010
        #126; iDQ =1'b1; iDi[15:0] =16'h0951;
3011
        #126; iDQ =1'b1; iDi[15:0] =16'h0952;
3012
        #126; iDQ =1'b1; iDi[15:0] =16'h0953;
3013
        #126; iDQ =1'b1; iDi[15:0] =16'h0954;
3014
        #126; iDQ =1'b1; iDi[15:0] =16'h0955;
3015
        #126; iDQ =1'b1; iDi[15:0] =16'h0956;
3016
        #126; iDQ =1'b1; iDi[15:0] =16'h0957;
3017
        #126; iDQ =1'b1; iDi[15:0] =16'h0958;
3018
        #126; iDQ =1'b1; iDi[15:0] =16'h0959;
3019
        #126; iDQ =1'b1; iDi[15:0] =16'h095A;
3020
        #126; iDQ =1'b1; iDi[15:0] =16'h095B;
3021
        #126; iDQ =1'b1; iDi[15:0] =16'h095C;
3022
        #126; iDQ =1'b1; iDi[15:0] =16'h095D;
3023
        #126; iDQ =1'b1; iDi[15:0] =16'h095E;
3024
        #126; iDQ =1'b1; iDi[15:0] =16'h095F;
3025
        #126; iDQ =1'b1; iDi[15:0] =16'h0960;
3026
        #126; iDQ =1'b1; iDi[15:0] =16'h0961;
3027
        #126; iDQ =1'b1; iDi[15:0] =16'h0962;
3028
        #126; iDQ =1'b1; iDi[15:0] =16'h0963;
3029
        #126; iDQ =1'b1; iDi[15:0] =16'h0964;
3030
        #126; iDQ =1'b1; iDi[15:0] =16'h0965;
3031
        #126; iDQ =1'b1; iDi[15:0] =16'h0966;
3032
        #126; iDQ =1'b1; iDi[15:0] =16'h0967;
3033
        #126; iDQ =1'b1; iDi[15:0] =16'h0968;
3034
        #126; iDQ =1'b1; iDi[15:0] =16'h0969;
3035
        #126; iDQ =1'b1; iDi[15:0] =16'h096A;
3036
        #126; iDQ =1'b1; iDi[15:0] =16'h096B;
3037
        #126; iDQ =1'b1; iDi[15:0] =16'h096C;
3038
        #126; iDQ =1'b1; iDi[15:0] =16'h096D;
3039
        #126; iDQ =1'b1; iDi[15:0] =16'h096E;
3040
        #126; iDQ =1'b1; iDi[15:0] =16'h096F;
3041
        #126; iDQ =1'b1; iDi[15:0] =16'h0970;
3042
        #126; iDQ =1'b1; iDi[15:0] =16'h0971;
3043
        #126; iDQ =1'b1; iDi[15:0] =16'h0972;
3044
        #126; iDQ =1'b1; iDi[15:0] =16'h0973;
3045
        #126; iDQ =1'b1; iDi[15:0] =16'h0974;
3046
        #126; iDQ =1'b1; iDi[15:0] =16'h0975;
3047
        #126; iDQ =1'b1; iDi[15:0] =16'h0976;
3048
        #126; iDQ =1'b1; iDi[15:0] =16'h0977;
3049
        #126; iDQ =1'b1; iDi[15:0] =16'h0978;
3050
        #126; iDQ =1'b1; iDi[15:0] =16'h0979;
3051
        #126; iDQ =1'b1; iDi[15:0] =16'h097A;
3052
        #126; iDQ =1'b1; iDi[15:0] =16'h097B;
3053
        #126; iDQ =1'b1; iDi[15:0] =16'h097C;
3054
        #126; iDQ =1'b1; iDi[15:0] =16'h097D;
3055
        #126; iDQ =1'b1; iDi[15:0] =16'h097E;
3056
        #126; iDQ =1'b1; iDi[15:0] =16'h097F;
3057
        #126; iDQ =1'b1; iDi[15:0] =16'h0980;
3058
        #126; iDQ =1'b1; iDi[15:0] =16'h0981;
3059
        #126; iDQ =1'b1; iDi[15:0] =16'h0982;
3060
        #126; iDQ =1'b1; iDi[15:0] =16'h0983;
3061
        #126; iDQ =1'b1; iDi[15:0] =16'h0984;
3062
        #126; iDQ =1'b1; iDi[15:0] =16'h0985;
3063
        #126; iDQ =1'b1; iDi[15:0] =16'h0986;
3064
        #126; iDQ =1'b1; iDi[15:0] =16'h0987;
3065
        #126; iDQ =1'b1; iDi[15:0] =16'h0988;
3066
        #126; iDQ =1'b1; iDi[15:0] =16'h0989;
3067
        #126; iDQ =1'b1; iDi[15:0] =16'h098A;
3068
        #126; iDQ =1'b1; iDi[15:0] =16'h098B;
3069
        #126; iDQ =1'b1; iDi[15:0] =16'h098C;
3070
        #126; iDQ =1'b1; iDi[15:0] =16'h098D;
3071
        #126; iDQ =1'b1; iDi[15:0] =16'h098E;
3072
        #126; iDQ =1'b1; iDi[15:0] =16'h098F;
3073
        #126; iDQ =1'b1; iDi[15:0] =16'h0990;
3074
        #126; iDQ =1'b1; iDi[15:0] =16'h0991;
3075
        #126; iDQ =1'b1; iDi[15:0] =16'h0992;
3076
        #126; iDQ =1'b1; iDi[15:0] =16'h0993;
3077
        #126; iDQ =1'b1; iDi[15:0] =16'h0994;
3078
        #126; iDQ =1'b1; iDi[15:0] =16'h0995;
3079
        #126; iDQ =1'b1; iDi[15:0] =16'h0996;
3080
        #126; iDQ =1'b1; iDi[15:0] =16'h0997;
3081
        #126; iDQ =1'b1; iDi[15:0] =16'h0998;
3082
        #126; iDQ =1'b1; iDi[15:0] =16'h0999;
3083
        #126; iDQ =1'b1; iDi[15:0] =16'h099A;
3084
        #126; iDQ =1'b1; iDi[15:0] =16'h099B;
3085
        #126; iDQ =1'b1; iDi[15:0] =16'h099C;
3086
        #126; iDQ =1'b1; iDi[15:0] =16'h099D;
3087
        #126; iDQ =1'b1; iDi[15:0] =16'h099E;
3088
        #126; iDQ =1'b1; iDi[15:0] =16'h099F;
3089
        #126; iDQ =1'b1; iDi[15:0] =16'h09A0;
3090
        #126; iDQ =1'b1; iDi[15:0] =16'h09A1;
3091
        #126; iDQ =1'b1; iDi[15:0] =16'h09A2;
3092
        #126; iDQ =1'b1; iDi[15:0] =16'h09A3;
3093
        #126; iDQ =1'b1; iDi[15:0] =16'h09A4;
3094
        #126; iDQ =1'b1; iDi[15:0] =16'h09A5;
3095
        #126; iDQ =1'b1; iDi[15:0] =16'h09A6;
3096
        #126; iDQ =1'b1; iDi[15:0] =16'h09A7;
3097
        #126; iDQ =1'b1; iDi[15:0] =16'h09A8;
3098
        #126; iDQ =1'b1; iDi[15:0] =16'h09A9;
3099
        #126; iDQ =1'b1; iDi[15:0] =16'h09AA;
3100
        #126; iDQ =1'b1; iDi[15:0] =16'h09AB;
3101
        #126; iDQ =1'b1; iDi[15:0] =16'h09AC;
3102
        #126; iDQ =1'b1; iDi[15:0] =16'h09AD;
3103
        #126; iDQ =1'b1; iDi[15:0] =16'h09AE;
3104
        #126; iDQ =1'b1; iDi[15:0] =16'h09AF;
3105
        #126; iDQ =1'b1; iDi[15:0] =16'h09B0;
3106
        #126; iDQ =1'b1; iDi[15:0] =16'h09B1;
3107
        #126; iDQ =1'b1; iDi[15:0] =16'h09B2;
3108
        #126; iDQ =1'b1; iDi[15:0] =16'h09B3;
3109
        #126; iDQ =1'b1; iDi[15:0] =16'h09B4;
3110
        #126; iDQ =1'b1; iDi[15:0] =16'h09B5;
3111
        #126; iDQ =1'b1; iDi[15:0] =16'h09B6;
3112
        #126; iDQ =1'b1; iDi[15:0] =16'h09B7;
3113
        #126; iDQ =1'b1; iDi[15:0] =16'h09B8;
3114
        #126; iDQ =1'b1; iDi[15:0] =16'h09B9;
3115
        #126; iDQ =1'b1; iDi[15:0] =16'h09BA;
3116
        #126; iDQ =1'b1; iDi[15:0] =16'h09BB;
3117
        #126; iDQ =1'b1; iDi[15:0] =16'h09BC;
3118
        #126; iDQ =1'b1; iDi[15:0] =16'h09BD;
3119
        #126; iDQ =1'b1; iDi[15:0] =16'h09BE;
3120
        #126; iDQ =1'b1; iDi[15:0] =16'h09BF;
3121
        #126; iDQ =1'b1; iDi[15:0] =16'h09C0;
3122
        #126; iDQ =1'b1; iDi[15:0] =16'h09C1;
3123
        #126; iDQ =1'b1; iDi[15:0] =16'h09C2;
3124
        #126; iDQ =1'b1; iDi[15:0] =16'h09C3;
3125
        #126; iDQ =1'b1; iDi[15:0] =16'h09C4;
3126
        #126; iDQ =1'b1; iDi[15:0] =16'h09C5;
3127
        #126; iDQ =1'b1; iDi[15:0] =16'h09C6;
3128
        #126; iDQ =1'b1; iDi[15:0] =16'h09C7;
3129
        #126; iDQ =1'b1; iDi[15:0] =16'h09C8;
3130
        #126; iDQ =1'b1; iDi[15:0] =16'h09C9;
3131
        #126; iDQ =1'b1; iDi[15:0] =16'h09CA;
3132
        #126; iDQ =1'b1; iDi[15:0] =16'h09CB;
3133
        #126; iDQ =1'b1; iDi[15:0] =16'h09CC;
3134
        #126; iDQ =1'b1; iDi[15:0] =16'h09CD;
3135
        #126; iDQ =1'b1; iDi[15:0] =16'h09CE;
3136
        #126; iDQ =1'b1; iDi[15:0] =16'h09CF;
3137
        #126; iDQ =1'b1; iDi[15:0] =16'h09D0;
3138
        #126; iDQ =1'b1; iDi[15:0] =16'h09D1;
3139
        #126; iDQ =1'b1; iDi[15:0] =16'h09D2;
3140
        #126; iDQ =1'b1; iDi[15:0] =16'h09D3;
3141
        #126; iDQ =1'b1; iDi[15:0] =16'h09D4;
3142
        #126; iDQ =1'b1; iDi[15:0] =16'h09D5;
3143
        #126; iDQ =1'b1; iDi[15:0] =16'h09D6;
3144
        #126; iDQ =1'b1; iDi[15:0] =16'h09D7;
3145
        #126; iDQ =1'b1; iDi[15:0] =16'h09D8;
3146
        #126; iDQ =1'b1; iDi[15:0] =16'h09D9;
3147
        #126; iDQ =1'b1; iDi[15:0] =16'h09DA;
3148
        #126; iDQ =1'b1; iDi[15:0] =16'h09DB;
3149
        #126; iDQ =1'b1; iDi[15:0] =16'h09DC;
3150
        #126; iDQ =1'b1; iDi[15:0] =16'h09DD;
3151
        #126; iDQ =1'b1; iDi[15:0] =16'h09DE;
3152
        #126; iDQ =1'b1; iDi[15:0] =16'h09DF;
3153
        #126; iDQ =1'b1; iDi[15:0] =16'h09E0;
3154
        #126; iDQ =1'b1; iDi[15:0] =16'h09E1;
3155
        #126; iDQ =1'b1; iDi[15:0] =16'h09E2;
3156
        #126; iDQ =1'b1; iDi[15:0] =16'h09E3;
3157
        #126; iDQ =1'b1; iDi[15:0] =16'h09E4;
3158
        #126; iDQ =1'b1; iDi[15:0] =16'h09E5;
3159
        #126; iDQ =1'b1; iDi[15:0] =16'h09E6;
3160
        #126; iDQ =1'b1; iDi[15:0] =16'h09E7;
3161
        #126; iDQ =1'b1; iDi[15:0] =16'h09E8;
3162
        #126; iDQ =1'b1; iDi[15:0] =16'h09E9;
3163
        #126; iDQ =1'b1; iDi[15:0] =16'h09EA;
3164
        #126; iDQ =1'b1; iDi[15:0] =16'h09EB;
3165
        #126; iDQ =1'b1; iDi[15:0] =16'h09EC;
3166
        #126; iDQ =1'b1; iDi[15:0] =16'h09ED;
3167
        #126; iDQ =1'b1; iDi[15:0] =16'h09EE;
3168
        #126; iDQ =1'b1; iDi[15:0] =16'h09EF;
3169
        #126; iDQ =1'b1; iDi[15:0] =16'h09F0;
3170
        #126; iDQ =1'b1; iDi[15:0] =16'h09F1;
3171
        #126; iDQ =1'b1; iDi[15:0] =16'h09F2;
3172
        #126; iDQ =1'b1; iDi[15:0] =16'h09F3;
3173
        #126; iDQ =1'b1; iDi[15:0] =16'h09F4;
3174
        #126; iDQ =1'b1; iDi[15:0] =16'h09F5;
3175
        #126; iDQ =1'b1; iDi[15:0] =16'h09F6;
3176
        #126; iDQ =1'b1; iDi[15:0] =16'h09F7;
3177
        #126; iDQ =1'b1; iDi[15:0] =16'h09F8;
3178
        #126; iDQ =1'b1; iDi[15:0] =16'h09F9;
3179
        #126; iDQ =1'b1; iDi[15:0] =16'h09FA;
3180
        #126; iDQ =1'b1; iDi[15:0] =16'h09FB;
3181
        #126; iDQ =1'b1; iDi[15:0] =16'h09FC;
3182
        #126; iDQ =1'b1; iDi[15:0] =16'h09FD;
3183
        #126; iDQ =1'b1; iDi[15:0] =16'h09FE;
3184
        #126; iDQ =1'b1; iDi[15:0] =16'h09FF;
3185
 
3186
        #126; iDQ =1'b1; iDi[15:0] =16'h0A00;
3187
        #126; iDQ =1'b1; iDi[15:0] =16'h0A01;
3188
        #126; iDQ =1'b1; iDi[15:0] =16'h0A02;
3189
        #126; iDQ =1'b1; iDi[15:0] =16'h0A03;
3190
        #126; iDQ =1'b1; iDi[15:0] =16'h0A04;
3191
        #126; iDQ =1'b1; iDi[15:0] =16'h0A05;
3192
        #126; iDQ =1'b1; iDi[15:0] =16'h0A06;
3193
        #126; iDQ =1'b1; iDi[15:0] =16'h0A07;
3194
        #126; iDQ =1'b1; iDi[15:0] =16'h0A08;
3195
        #126; iDQ =1'b1; iDi[15:0] =16'h0A09;
3196
        #126; iDQ =1'b1; iDi[15:0] =16'h0A0A;
3197
        #126; iDQ =1'b1; iDi[15:0] =16'h0A0B;
3198
        #126; iDQ =1'b1; iDi[15:0] =16'h0A0C;
3199
        #126; iDQ =1'b1; iDi[15:0] =16'h0A0D;
3200
        #126; iDQ =1'b1; iDi[15:0] =16'h0A0E;
3201
        #126; iDQ =1'b1; iDi[15:0] =16'h0A0F;
3202
        #126; iDQ =1'b1; iDi[15:0] =16'h0A10;
3203
        #126; iDQ =1'b1; iDi[15:0] =16'h0A11;
3204
        #126; iDQ =1'b1; iDi[15:0] =16'h0A12;
3205
        #126; iDQ =1'b1; iDi[15:0] =16'h0A13;
3206
        #126; iDQ =1'b1; iDi[15:0] =16'h0A14;
3207
        #126; iDQ =1'b1; iDi[15:0] =16'h0A15;
3208
        #126; iDQ =1'b1; iDi[15:0] =16'h0A16;
3209
        #126; iDQ =1'b1; iDi[15:0] =16'h0A17;
3210
        #126; iDQ =1'b1; iDi[15:0] =16'h0A18;
3211
        #126; iDQ =1'b1; iDi[15:0] =16'h0A19;
3212
        #126; iDQ =1'b1; iDi[15:0] =16'h0A1A;
3213
        #126; iDQ =1'b1; iDi[15:0] =16'h0A1B;
3214
        #126; iDQ =1'b1; iDi[15:0] =16'h0A1C;
3215
        #126; iDQ =1'b1; iDi[15:0] =16'h0A1D;
3216
        #126; iDQ =1'b1; iDi[15:0] =16'h0A1E;
3217
        #126; iDQ =1'b1; iDi[15:0] =16'h0A1F;
3218
        #126; iDQ =1'b1; iDi[15:0] =16'h0A20;
3219
        #126; iDQ =1'b1; iDi[15:0] =16'h0A21;
3220
        #126; iDQ =1'b1; iDi[15:0] =16'h0A22;
3221
        #126; iDQ =1'b1; iDi[15:0] =16'h0A23;
3222
        #126; iDQ =1'b1; iDi[15:0] =16'h0A24;
3223
        #126; iDQ =1'b1; iDi[15:0] =16'h0A25;
3224
        #126; iDQ =1'b1; iDi[15:0] =16'h0A26;
3225
        #126; iDQ =1'b1; iDi[15:0] =16'h0A27;
3226
        #126; iDQ =1'b1; iDi[15:0] =16'h0A28;
3227
        #126; iDQ =1'b1; iDi[15:0] =16'h0A29;
3228
        #126; iDQ =1'b1; iDi[15:0] =16'h0A2A;
3229
        #126; iDQ =1'b1; iDi[15:0] =16'h0A2B;
3230
        #126; iDQ =1'b1; iDi[15:0] =16'h0A2C;
3231
        #126; iDQ =1'b1; iDi[15:0] =16'h0A2D;
3232
        #126; iDQ =1'b1; iDi[15:0] =16'h0A2E;
3233
        #126; iDQ =1'b1; iDi[15:0] =16'h0A2F;
3234
        #126; iDQ =1'b1; iDi[15:0] =16'h0A30;
3235
        #126; iDQ =1'b1; iDi[15:0] =16'h0A31;
3236
        #126; iDQ =1'b1; iDi[15:0] =16'h0A32;
3237
        #126; iDQ =1'b1; iDi[15:0] =16'h0A33;
3238
        #126; iDQ =1'b1; iDi[15:0] =16'h0A34;
3239
        #126; iDQ =1'b1; iDi[15:0] =16'h0A35;
3240
        #126; iDQ =1'b1; iDi[15:0] =16'h0A36;
3241
        #126; iDQ =1'b1; iDi[15:0] =16'h0A37;
3242
        #126; iDQ =1'b1; iDi[15:0] =16'h0A38;
3243
        #126; iDQ =1'b1; iDi[15:0] =16'h0A39;
3244
        #126; iDQ =1'b1; iDi[15:0] =16'h0A3A;
3245
        #126; iDQ =1'b1; iDi[15:0] =16'h0A3B;
3246
        #126; iDQ =1'b1; iDi[15:0] =16'h0A3C;
3247
        #126; iDQ =1'b1; iDi[15:0] =16'h0A3D;
3248
        #126; iDQ =1'b1; iDi[15:0] =16'h0A3E;
3249
        #126; iDQ =1'b1; iDi[15:0] =16'h0A3F;
3250
        #126; iDQ =1'b1; iDi[15:0] =16'h0A40;
3251
        #126; iDQ =1'b1; iDi[15:0] =16'h0A41;
3252
        #126; iDQ =1'b1; iDi[15:0] =16'h0A42;
3253
        #126; iDQ =1'b1; iDi[15:0] =16'h0A43;
3254
        #126; iDQ =1'b1; iDi[15:0] =16'h0A44;
3255
        #126; iDQ =1'b1; iDi[15:0] =16'h0A45;
3256
        #126; iDQ =1'b1; iDi[15:0] =16'h0A46;
3257
        #126; iDQ =1'b1; iDi[15:0] =16'h0A47;
3258
        #126; iDQ =1'b1; iDi[15:0] =16'h0A48;
3259
        #126; iDQ =1'b1; iDi[15:0] =16'h0A49;
3260
        #126; iDQ =1'b1; iDi[15:0] =16'h0A4A;
3261
        #126; iDQ =1'b1; iDi[15:0] =16'h0A4B;
3262
        #126; iDQ =1'b1; iDi[15:0] =16'h0A4C;
3263
        #126; iDQ =1'b1; iDi[15:0] =16'h0A4D;
3264
        #126; iDQ =1'b1; iDi[15:0] =16'h0A4E;
3265
        #126; iDQ =1'b1; iDi[15:0] =16'h0A4F;
3266
        #126; iDQ =1'b1; iDi[15:0] =16'h0A50;
3267
        #126; iDQ =1'b1; iDi[15:0] =16'h0A51;
3268
        #126; iDQ =1'b1; iDi[15:0] =16'h0A52;
3269
        #126; iDQ =1'b1; iDi[15:0] =16'h0A53;
3270
        #126; iDQ =1'b1; iDi[15:0] =16'h0A54;
3271
        #126; iDQ =1'b1; iDi[15:0] =16'h0A55;
3272
        #126; iDQ =1'b1; iDi[15:0] =16'h0A56;
3273
        #126; iDQ =1'b1; iDi[15:0] =16'h0A57;
3274
        #126; iDQ =1'b1; iDi[15:0] =16'h0A58;
3275
        #126; iDQ =1'b1; iDi[15:0] =16'h0A59;
3276
        #126; iDQ =1'b1; iDi[15:0] =16'h0A5A;
3277
        #126; iDQ =1'b1; iDi[15:0] =16'h0A5B;
3278
        #126; iDQ =1'b1; iDi[15:0] =16'h0A5C;
3279
        #126; iDQ =1'b1; iDi[15:0] =16'h0A5D;
3280
        #126; iDQ =1'b1; iDi[15:0] =16'h0A5E;
3281
        #126; iDQ =1'b1; iDi[15:0] =16'h0A5F;
3282
        #126; iDQ =1'b1; iDi[15:0] =16'h0A60;
3283
        #126; iDQ =1'b1; iDi[15:0] =16'h0A61;
3284
        #126; iDQ =1'b1; iDi[15:0] =16'h0A62;
3285
        #126; iDQ =1'b1; iDi[15:0] =16'h0A63;
3286
        #126; iDQ =1'b1; iDi[15:0] =16'h0A64;
3287
        #126; iDQ =1'b1; iDi[15:0] =16'h0A65;
3288
        #126; iDQ =1'b1; iDi[15:0] =16'h0A66;
3289
        #126; iDQ =1'b1; iDi[15:0] =16'h0A67;
3290
        #126; iDQ =1'b1; iDi[15:0] =16'h0A68;
3291
        #126; iDQ =1'b1; iDi[15:0] =16'h0A69;
3292
        #126; iDQ =1'b1; iDi[15:0] =16'h0A6A;
3293
        #126; iDQ =1'b1; iDi[15:0] =16'h0A6B;
3294
        #126; iDQ =1'b1; iDi[15:0] =16'h0A6C;
3295
        #126; iDQ =1'b1; iDi[15:0] =16'h0A6D;
3296
        #126; iDQ =1'b1; iDi[15:0] =16'h0A6E;
3297
        #126; iDQ =1'b1; iDi[15:0] =16'h0A6F;
3298
        #126; iDQ =1'b1; iDi[15:0] =16'h0A70;
3299
        #126; iDQ =1'b1; iDi[15:0] =16'h0A71;
3300
        #126; iDQ =1'b1; iDi[15:0] =16'h0A72;
3301
        #126; iDQ =1'b1; iDi[15:0] =16'h0A73;
3302
        #126; iDQ =1'b1; iDi[15:0] =16'h0A74;
3303
        #126; iDQ =1'b1; iDi[15:0] =16'h0A75;
3304
        #126; iDQ =1'b1; iDi[15:0] =16'h0A76;
3305
        #126; iDQ =1'b1; iDi[15:0] =16'h0A77;
3306
        #126; iDQ =1'b1; iDi[15:0] =16'h0A78;
3307
        #126; iDQ =1'b1; iDi[15:0] =16'h0A79;
3308
        #126; iDQ =1'b1; iDi[15:0] =16'h0A7A;
3309
        #126; iDQ =1'b1; iDi[15:0] =16'h0A7B;
3310
        #126; iDQ =1'b1; iDi[15:0] =16'h0A7C;
3311
        #126; iDQ =1'b1; iDi[15:0] =16'h0A7D;
3312
        #126; iDQ =1'b1; iDi[15:0] =16'h0A7E;
3313
        #126; iDQ =1'b1; iDi[15:0] =16'h0A7F;
3314
        #126; iDQ =1'b1; iDi[15:0] =16'h0A80;
3315
        #126; iDQ =1'b1; iDi[15:0] =16'h0A81;
3316
        #126; iDQ =1'b1; iDi[15:0] =16'h0A82;
3317
        #126; iDQ =1'b1; iDi[15:0] =16'h0A83;
3318
        #126; iDQ =1'b1; iDi[15:0] =16'h0A84;
3319
        #126; iDQ =1'b1; iDi[15:0] =16'h0A85;
3320
        #126; iDQ =1'b1; iDi[15:0] =16'h0A86;
3321
        #126; iDQ =1'b1; iDi[15:0] =16'h0A87;
3322
        #126; iDQ =1'b1; iDi[15:0] =16'h0A88;
3323
        #126; iDQ =1'b1; iDi[15:0] =16'h0A89;
3324
        #126; iDQ =1'b1; iDi[15:0] =16'h0A8A;
3325
        #126; iDQ =1'b1; iDi[15:0] =16'h0A8B;
3326
        #126; iDQ =1'b1; iDi[15:0] =16'h0A8C;
3327
        #126; iDQ =1'b1; iDi[15:0] =16'h0A8D;
3328
        #126; iDQ =1'b1; iDi[15:0] =16'h0A8E;
3329
        #126; iDQ =1'b1; iDi[15:0] =16'h0A8F;
3330
        #126; iDQ =1'b1; iDi[15:0] =16'h0A90;
3331
        #126; iDQ =1'b1; iDi[15:0] =16'h0A91;
3332
        #126; iDQ =1'b1; iDi[15:0] =16'h0A92;
3333
        #126; iDQ =1'b1; iDi[15:0] =16'h0A93;
3334
        #126; iDQ =1'b1; iDi[15:0] =16'h0A94;
3335
        #126; iDQ =1'b1; iDi[15:0] =16'h0A95;
3336
        #126; iDQ =1'b1; iDi[15:0] =16'h0A96;
3337
        #126; iDQ =1'b1; iDi[15:0] =16'h0A97;
3338
        #126; iDQ =1'b1; iDi[15:0] =16'h0A98;
3339
        #126; iDQ =1'b1; iDi[15:0] =16'h0A99;
3340
        #126; iDQ =1'b1; iDi[15:0] =16'h0A9A;
3341
        #126; iDQ =1'b1; iDi[15:0] =16'h0A9B;
3342
        #126; iDQ =1'b1; iDi[15:0] =16'h0A9C;
3343
        #126; iDQ =1'b1; iDi[15:0] =16'h0A9D;
3344
        #126; iDQ =1'b1; iDi[15:0] =16'h0A9E;
3345
        #126; iDQ =1'b1; iDi[15:0] =16'h0A9F;
3346
        #126; iDQ =1'b1; iDi[15:0] =16'h0AA0;
3347
        #126; iDQ =1'b1; iDi[15:0] =16'h0AA1;
3348
        #126; iDQ =1'b1; iDi[15:0] =16'h0AA2;
3349
        #126; iDQ =1'b1; iDi[15:0] =16'h0AA3;
3350
        #126; iDQ =1'b1; iDi[15:0] =16'h0AA4;
3351
        #126; iDQ =1'b1; iDi[15:0] =16'h0AA5;
3352
        #126; iDQ =1'b1; iDi[15:0] =16'h0AA6;
3353
        #126; iDQ =1'b1; iDi[15:0] =16'h0AA7;
3354
        #126; iDQ =1'b1; iDi[15:0] =16'h0AA8;
3355
        #126; iDQ =1'b1; iDi[15:0] =16'h0AA9;
3356
        #126; iDQ =1'b1; iDi[15:0] =16'h0AAA;
3357
        #126; iDQ =1'b1; iDi[15:0] =16'h0AAB;
3358
        #126; iDQ =1'b1; iDi[15:0] =16'h0AAC;
3359
        #126; iDQ =1'b1; iDi[15:0] =16'h0AAD;
3360
        #126; iDQ =1'b1; iDi[15:0] =16'h0AAE;
3361
        #126; iDQ =1'b1; iDi[15:0] =16'h0AAF;
3362
        #126; iDQ =1'b1; iDi[15:0] =16'h0AB0;
3363
        #126; iDQ =1'b1; iDi[15:0] =16'h0AB1;
3364
        #126; iDQ =1'b1; iDi[15:0] =16'h0AB2;
3365
        #126; iDQ =1'b1; iDi[15:0] =16'h0AB3;
3366
        #126; iDQ =1'b1; iDi[15:0] =16'h0AB4;
3367
        #126; iDQ =1'b1; iDi[15:0] =16'h0AB5;
3368
        #126; iDQ =1'b1; iDi[15:0] =16'h0AB6;
3369
        #126; iDQ =1'b1; iDi[15:0] =16'h0AB7;
3370
        #126; iDQ =1'b1; iDi[15:0] =16'h0AB8;
3371
        #126; iDQ =1'b1; iDi[15:0] =16'h0AB9;
3372
        #126; iDQ =1'b1; iDi[15:0] =16'h0ABA;
3373
        #126; iDQ =1'b1; iDi[15:0] =16'h0ABB;
3374
        #126; iDQ =1'b1; iDi[15:0] =16'h0ABC;
3375
        #126; iDQ =1'b1; iDi[15:0] =16'h0ABD;
3376
        #126; iDQ =1'b1; iDi[15:0] =16'h0ABE;
3377
        #126; iDQ =1'b1; iDi[15:0] =16'h0ABF;
3378
        #126; iDQ =1'b1; iDi[15:0] =16'h0AC0;
3379
        #126; iDQ =1'b1; iDi[15:0] =16'h0AC1;
3380
        #126; iDQ =1'b1; iDi[15:0] =16'h0AC2;
3381
        #126; iDQ =1'b1; iDi[15:0] =16'h0AC3;
3382
        #126; iDQ =1'b1; iDi[15:0] =16'h0AC4;
3383
        #126; iDQ =1'b1; iDi[15:0] =16'h0AC5;
3384
        #126; iDQ =1'b1; iDi[15:0] =16'h0AC6;
3385
        #126; iDQ =1'b1; iDi[15:0] =16'h0AC7;
3386
        #126; iDQ =1'b1; iDi[15:0] =16'h0AC8;
3387
        #126; iDQ =1'b1; iDi[15:0] =16'h0AC9;
3388
        #126; iDQ =1'b1; iDi[15:0] =16'h0ACA;
3389
        #126; iDQ =1'b1; iDi[15:0] =16'h0ACB;
3390
        #126; iDQ =1'b1; iDi[15:0] =16'h0ACC;
3391
        #126; iDQ =1'b1; iDi[15:0] =16'h0ACD;
3392
        #126; iDQ =1'b1; iDi[15:0] =16'h0ACE;
3393
        #126; iDQ =1'b1; iDi[15:0] =16'h0ACF;
3394
        #126; iDQ =1'b1; iDi[15:0] =16'h0AD0;
3395
        #126; iDQ =1'b1; iDi[15:0] =16'h0AD1;
3396
        #126; iDQ =1'b1; iDi[15:0] =16'h0AD2;
3397
        #126; iDQ =1'b1; iDi[15:0] =16'h0AD3;
3398
        #126; iDQ =1'b1; iDi[15:0] =16'h0AD4;
3399
        #126; iDQ =1'b1; iDi[15:0] =16'h0AD5;
3400
        #126; iDQ =1'b1; iDi[15:0] =16'h0AD6;
3401
        #126; iDQ =1'b1; iDi[15:0] =16'h0AD7;
3402
        #126; iDQ =1'b1; iDi[15:0] =16'h0AD8;
3403
        #126; iDQ =1'b1; iDi[15:0] =16'h0AD9;
3404
        #126; iDQ =1'b1; iDi[15:0] =16'h0ADA;
3405
        #126; iDQ =1'b1; iDi[15:0] =16'h0ADB;
3406
        #126; iDQ =1'b1; iDi[15:0] =16'h0ADC;
3407
        #126; iDQ =1'b1; iDi[15:0] =16'h0ADD;
3408
        #126; iDQ =1'b1; iDi[15:0] =16'h0ADE;
3409
        #126; iDQ =1'b1; iDi[15:0] =16'h0ADF;
3410
        #126; iDQ =1'b1; iDi[15:0] =16'h0AE0;
3411
        #126; iDQ =1'b1; iDi[15:0] =16'h0AE1;
3412
        #126; iDQ =1'b1; iDi[15:0] =16'h0AE2;
3413
        #126; iDQ =1'b1; iDi[15:0] =16'h0AE3;
3414
        #126; iDQ =1'b1; iDi[15:0] =16'h0AE4;
3415
        #126; iDQ =1'b1; iDi[15:0] =16'h0AE5;
3416
        #126; iDQ =1'b1; iDi[15:0] =16'h0AE6;
3417
        #126; iDQ =1'b1; iDi[15:0] =16'h0AE7;
3418
        #126; iDQ =1'b1; iDi[15:0] =16'h0AE8;
3419
        #126; iDQ =1'b1; iDi[15:0] =16'h0AE9;
3420
        #126; iDQ =1'b1; iDi[15:0] =16'h0AEA;
3421
        #126; iDQ =1'b1; iDi[15:0] =16'h0AEB;
3422
        #126; iDQ =1'b1; iDi[15:0] =16'h0AEC;
3423
        #126; iDQ =1'b1; iDi[15:0] =16'h0AED;
3424
        #126; iDQ =1'b1; iDi[15:0] =16'h0AEE;
3425
        #126; iDQ =1'b1; iDi[15:0] =16'h0AEF;
3426
        #126; iDQ =1'b1; iDi[15:0] =16'h0AF0;
3427
        #126; iDQ =1'b1; iDi[15:0] =16'h0AF1;
3428
        #126; iDQ =1'b1; iDi[15:0] =16'h0AF2;
3429
        #126; iDQ =1'b1; iDi[15:0] =16'h0AF3;
3430
        #126; iDQ =1'b1; iDi[15:0] =16'h0AF4;
3431
        #126; iDQ =1'b1; iDi[15:0] =16'h0AF5;
3432
        #126; iDQ =1'b1; iDi[15:0] =16'h0AF6;
3433
        #126; iDQ =1'b1; iDi[15:0] =16'h0AF7;
3434
        #126; iDQ =1'b1; iDi[15:0] =16'h0AF8;
3435
        #126; iDQ =1'b1; iDi[15:0] =16'h0AF9;
3436
        #126; iDQ =1'b1; iDi[15:0] =16'h0AFA;
3437
        #126; iDQ =1'b1; iDi[15:0] =16'h0AFB;
3438
        #126; iDQ =1'b1; iDi[15:0] =16'h0AFC;
3439
        #126; iDQ =1'b1; iDi[15:0] =16'h0AFD;
3440
        #126; iDQ =1'b1; iDi[15:0] =16'h0AFE;
3441
        #126; iDQ =1'b1; iDi[15:0] =16'h0AFF;
3442
        #44; iDQ =1'b0;
3443
        #98; iDi[15:0] =16'hZZZZ;
3444
//- start of data burst ======================================
3445
        #56;
3446
        #414; cDK =1'b1;        // start reading another DMA here
3447
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3448
        #896; bcRd =1'b1;
3449
        # 70; cDK =1'b0;
3450
        #280; cDK =1'b1;        // start reading another DMA here
3451
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3452
        #896; bcRd =1'b1;
3453
        # 70; cDK =1'b0;
3454
        #280; cDK =1'b1;        // start reading another DMA here
3455
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3456
        #896; bcRd =1'b1;
3457
        # 70; cDK =1'b0;
3458
        #280; cDK =1'b1;        // start reading another DMA here
3459
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3460
        #896; bcRd =1'b1;
3461
        # 70; cDK =1'b0;
3462
        #280; cDK =1'b1;        // start reading another DMA here
3463
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3464
        #896; bcRd =1'b1;
3465
        # 70; cDK =1'b0;
3466
        #280; cDK =1'b1;        // start reading another DMA here
3467
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3468
        #896; bcRd =1'b1;
3469
        # 70; cDK =1'b0;
3470
        #280; cDK =1'b1;        // start reading another DMA here
3471
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3472
        #896; bcRd =1'b1;
3473
        # 70; cDK =1'b0;
3474
        #280; cDK =1'b1;        // start reading another DMA here
3475
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3476
        #896; bcRd =1'b1;
3477
        # 70; cDK =1'b0;
3478
        #280; cDK =1'b1;        // start reading another DMA here
3479
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3480
        #896; bcRd =1'b1;
3481
        # 70; cDK =1'b0;
3482
        #280; cDK =1'b1;        // start reading another DMA here
3483
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3484
        #896; bcRd =1'b1;
3485
        # 70; cDK =1'b0;
3486
        #280; cDK =1'b1;        // start reading another DMA here
3487
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3488
        #896; bcRd =1'b1;
3489
        # 70; cDK =1'b0;
3490
        #280; cDK =1'b1;        // start reading another DMA here
3491
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
3492
        #868;
3493
        # 28; bcRd =1'b1;
3494
        # 84; cDK =1'b0;
3495
//// =====================================================
3496
        #280;
3497
 
3498
 
3499
////-^^^^^^^^^^^^^^^^^^^^^^^^^
3500
        #280;
3501
//// ===================check for PS2 DMA Write to IDE
3502
        #112; cAPi[15:0] =16'h0038;      cDPi[15:0] =16'h0003; // write 0038(0003)
3503
        # 14; bcCS =1'b0;
3504
        # 14; bcRd =1'b1; bcWr =1'b0;
3505
        #196; bcRd =1'b1; bcWr =1'b1;
3506
        # 14; bcCS =1'b1;
3507
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
3508
        #112; cAPi[15:0] =16'h0064;      cDPi[15:0] =16'h004E; // write 0064(004E)
3509
        # 14; bcCS =1'b0;
3510
        # 14; bcRd =1'b1; bcWr =1'b0;
3511
        #196; bcRd =1'b1; bcWr =1'b1;
3512
        # 14; bcCS =1'b1;
3513
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
3514
////- ====set Multi-DMA mode 2
3515
        #112; cAPi[15:0] =16'h0042;      cDPi[15:0] =16'h0003; // write 0042(0003)
3516
        # 14; bcCS =1'b0;
3517
        # 14; bcRd =1'b1; bcWr =1'b0;
3518
        #196; bcRd =1'b1; bcWr =1'b1;
3519
        # 14; bcCS =1'b1;
3520
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
3521
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0024; // write 0044(0024) MDMA - MODE 2
3522
        # 14; bcCS =1'b0;
3523
        # 14; bcRd =1'b1; bcWr =1'b0;
3524
        #196; bcRd =1'b1; bcWr =1'b1;
3525
        # 14; bcCS =1'b1;
3526
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
3527
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00EF; // write 004E(00EF)
3528
        # 14; bcCS =1'b0;
3529
        # 14; bcRd =1'b1; bcWr =1'b0;
3530
        #196; bcRd =1'b1; bcWr =1'b1;
3531
        # 14; bcCS =1'b1;
3532
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
3533
////// ====================================
3534
        #112; cAPi[15:0] =16'h0032;      cDPi[15:0] =16'h0087; // write 0032(0087)
3535
        # 14; bcCS =1'b0;
3536
        # 14; bcRd =1'b1; bcWr =1'b0;
3537
        #196; bcRd =1'b1; bcWr =1'b1;
3538
        # 14; bcCS =1'b1;
3539
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
3540
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0001; // write 0044(0001)
3541
        # 14; bcCS =1'b0;
3542
        # 14; bcRd =1'b1; bcWr =1'b0;
3543
        #196; bcRd =1'b1; bcWr =1'b1;
3544
        # 14; bcCS =1'b1;
3545
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
3546
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00CA; // write 004E(00CA)
3547
        # 14; bcCS =1'b0;
3548
        # 14; bcRd =1'b1; bcWr =1'b0;
3549
        #196; bcRd =1'b1; bcWr =1'b1;
3550
        # 14; bcCS =1'b1;
3551
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
3552
        #112; cAPi[15:0] =16'h0024;      cDPi[15:0] =16'h0006; // write 0024(0006)
3553
        # 14; bcCS =1'b0;
3554
        # 14; bcRd =1'b1; bcWr =1'b0;
3555
        #196; bcRd =1'b1; bcWr =1'b1;
3556
        # 14; bcCS =1'b1;
3557
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
3558
        #112; cAPi[15:0] =16'h002E;      cDPi[15:0] =16'h0001; // write 002E(0001)
3559
        # 14; bcCS =1'b0;
3560
        # 14; bcRd =1'b1; bcWr =1'b0;
3561
        #196; bcRd =1'b1; bcWr =1'b1;
3562
        # 14; bcCS =1'b1;
3563
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
3564
        #112; cAPi[15:0] =16'h002E;      cDPi[15:0] =16'h0000; // write 002E(0000)
3565
        # 14; bcCS =1'b0;
3566
        # 14; bcRd =1'b1; bcWr =1'b0;
3567
        #196; bcRd =1'b1; bcWr =1'b1;
3568
        # 14; bcCS =1'b1;
3569
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
3570
////-
3571
#149;   iDQ =1'b1;              // say we starts to request now
3572
//// At clock edge
3573
#7; cDK =1'b1;
3574
#70; bcWr =1'b0;
3575
#7; cAPi[15:0] =16'h8001; cDPi[15:0] =16'h8000;
3576
#28; cAPi[15:0] =16'h8003; cDPi[15:0] =16'h8002;
3577
#28; cAPi[15:0] =16'h8005; cDPi[15:0] =16'h8004;
3578
#28; cAPi[15:0] =16'h8007; cDPi[15:0] =16'h8006;
3579
#28; cAPi[15:0] =16'h8009; cDPi[15:0] =16'h8008;
3580
#28; cAPi[15:0] =16'h800B; cDPi[15:0] =16'h800A;
3581
#28; cAPi[15:0] =16'h800D; cDPi[15:0] =16'h800C;
3582
#28; cAPi[15:0] =16'h800F; cDPi[15:0] =16'h800E;
3583
#28; cAPi[15:0] =16'h8011; cDPi[15:0] =16'h8010;
3584
#28; cAPi[15:0] =16'h8013; cDPi[15:0] =16'h8012;
3585
#28; cAPi[15:0] =16'h8015; cDPi[15:0] =16'h8014;
3586
#28; cAPi[15:0] =16'h8017; cDPi[15:0] =16'h8016;
3587
#28; cAPi[15:0] =16'h8019; cDPi[15:0] =16'h8018;
3588
#28; cAPi[15:0] =16'h801B; cDPi[15:0] =16'h801A;
3589
#28; cAPi[15:0] =16'h801D; cDPi[15:0] =16'h801C;
3590
#28; cAPi[15:0] =16'h801F; cDPi[15:0] =16'h801E;
3591
#28; cAPi[15:0] =16'h8021; cDPi[15:0] =16'h8020;
3592
#28; cAPi[15:0] =16'h8023; cDPi[15:0] =16'h8022;
3593
#28; cAPi[15:0] =16'h8025; cDPi[15:0] =16'h8024;
3594
#28; cAPi[15:0] =16'h8027; cDPi[15:0] =16'h8026;
3595
#28; cAPi[15:0] =16'h8029; cDPi[15:0] =16'h8028;
3596
#28; cAPi[15:0] =16'h802B; cDPi[15:0] =16'h802A;
3597
#28; cAPi[15:0] =16'h802D; cDPi[15:0] =16'h802C;
3598
#28; cAPi[15:0] =16'h802F; cDPi[15:0] =16'h802E;
3599
#28; cAPi[15:0] =16'h8031; cDPi[15:0] =16'h8030;
3600
#28; cAPi[15:0] =16'h8033; cDPi[15:0] =16'h8032;
3601
#28; cAPi[15:0] =16'h8035; cDPi[15:0] =16'h8034;
3602
#28; cAPi[15:0] =16'h8037; cDPi[15:0] =16'h8036;
3603
#28; cAPi[15:0] =16'h8039; cDPi[15:0] =16'h8038;
3604
#28; cAPi[15:0] =16'h803B; cDPi[15:0] =16'h803A;
3605
#28; cAPi[15:0] =16'h803D; cDPi[15:0] =16'h803C;
3606
#28; cAPi[15:0] =16'h803F; cDPi[15:0] =16'h803E;
3607
#21; bcWr =1'b1;
3608
#7 ; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;
3609
#56;
3610
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
3611
#140;
3612
////- =================
3613
#28;
3614
#7; cDK =1'b1;
3615
#70; bcWr =1'b0;
3616
#7;  cAPi[15:0] =16'h8041; cDPi[15:0] =16'h8040;
3617
#28; cAPi[15:0] =16'h8043; cDPi[15:0] =16'h8042;
3618
#28; cAPi[15:0] =16'h8045; cDPi[15:0] =16'h8044;
3619
#28; cAPi[15:0] =16'h8047; cDPi[15:0] =16'h8046;
3620
#28; cAPi[15:0] =16'h8049; cDPi[15:0] =16'h8048;
3621
#28; cAPi[15:0] =16'h804B; cDPi[15:0] =16'h804A;
3622
#28; cAPi[15:0] =16'h804D; cDPi[15:0] =16'h804C;
3623
#28; cAPi[15:0] =16'h804F; cDPi[15:0] =16'h804E;
3624
#28; cAPi[15:0] =16'h8051; cDPi[15:0] =16'h8050;
3625
#28; cAPi[15:0] =16'h8053; cDPi[15:0] =16'h8052;
3626
#28; cAPi[15:0] =16'h8055; cDPi[15:0] =16'h8054;
3627
#28; cAPi[15:0] =16'h8057; cDPi[15:0] =16'h8056;
3628
#28; cAPi[15:0] =16'h8059; cDPi[15:0] =16'h8058;
3629
#28; cAPi[15:0] =16'h805B; cDPi[15:0] =16'h805A;
3630
#28; cAPi[15:0] =16'h805D; cDPi[15:0] =16'h805C;
3631
#28; cAPi[15:0] =16'h805F; cDPi[15:0] =16'h805E;
3632
#28; cAPi[15:0] =16'h8061; cDPi[15:0] =16'h8060;
3633
#28; cAPi[15:0] =16'h8063; cDPi[15:0] =16'h8062;
3634
#28; cAPi[15:0] =16'h8065; cDPi[15:0] =16'h8064;
3635
#28; cAPi[15:0] =16'h8067; cDPi[15:0] =16'h8066;
3636
#28; cAPi[15:0] =16'h8069; cDPi[15:0] =16'h8068;
3637
#28; cAPi[15:0] =16'h806B; cDPi[15:0] =16'h806A;
3638
#28; cAPi[15:0] =16'h806D; cDPi[15:0] =16'h806C;
3639
#28; cAPi[15:0] =16'h806F; cDPi[15:0] =16'h806E;
3640
#28; cAPi[15:0] =16'h8071; cDPi[15:0] =16'h8070;
3641
#28; cAPi[15:0] =16'h8073; cDPi[15:0] =16'h8072;
3642
#28; cAPi[15:0] =16'h8075; cDPi[15:0] =16'h8074;
3643
#28; cAPi[15:0] =16'h8077; cDPi[15:0] =16'h8076;
3644
#28; cAPi[15:0] =16'h8079; cDPi[15:0] =16'h8078;
3645
#28; cAPi[15:0] =16'h807B; cDPi[15:0] =16'h807A;
3646
#28; cAPi[15:0] =16'h807D; cDPi[15:0] =16'h807C;
3647
#28; cAPi[15:0] =16'h807F; cDPi[15:0] =16'h807E;
3648
#21; bcWr =1'b1;
3649
#7 ; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;
3650
#56;
3651
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
3652
#140;
3653
////- =================
3654
#28;
3655
#7; cDK =1'b1;
3656
#70; bcWr =1'b0;
3657
#7; cAPi[15:0] =16'h8081; cDPi[15:0] =16'h8080;
3658
#28; cAPi[15:0] =16'h8083; cDPi[15:0] =16'h8082;
3659
#28; cAPi[15:0] =16'h8085; cDPi[15:0] =16'h8084;
3660
#28; cAPi[15:0] =16'h8087; cDPi[15:0] =16'h8086;
3661
#28; cAPi[15:0] =16'h8089; cDPi[15:0] =16'h8088;
3662
#28; cAPi[15:0] =16'h808B; cDPi[15:0] =16'h808A;
3663
#28; cAPi[15:0] =16'h808D; cDPi[15:0] =16'h808C;
3664
#28; cAPi[15:0] =16'h808F; cDPi[15:0] =16'h808E;
3665
#28; cAPi[15:0] =16'h8091; cDPi[15:0] =16'h8090;
3666
#28; cAPi[15:0] =16'h8093; cDPi[15:0] =16'h8092;
3667
#28; cAPi[15:0] =16'h8095; cDPi[15:0] =16'h8094;
3668
#28; cAPi[15:0] =16'h8097; cDPi[15:0] =16'h8096;
3669
#28; cAPi[15:0] =16'h8099; cDPi[15:0] =16'h8098;
3670
#28; cAPi[15:0] =16'h809B; cDPi[15:0] =16'h809A;
3671
#28; cAPi[15:0] =16'h809D; cDPi[15:0] =16'h809C;
3672
#28; cAPi[15:0] =16'h809F; cDPi[15:0] =16'h809E;
3673
#28; cAPi[15:0] =16'h80A1; cDPi[15:0] =16'h80A0;
3674
#28; cAPi[15:0] =16'h80A3; cDPi[15:0] =16'h80A2;
3675
#28; cAPi[15:0] =16'h80A5; cDPi[15:0] =16'h80A4;
3676
#28; cAPi[15:0] =16'h80A7; cDPi[15:0] =16'h80A6;
3677
#28; cAPi[15:0] =16'h80A9; cDPi[15:0] =16'h80A8;
3678
#28; cAPi[15:0] =16'h80AB; cDPi[15:0] =16'h80AA;
3679
#28; cAPi[15:0] =16'h80AD; cDPi[15:0] =16'h80AC;
3680
#28; cAPi[15:0] =16'h80AF; cDPi[15:0] =16'h80AE;
3681
#28; cAPi[15:0] =16'h80B1; cDPi[15:0] =16'h80B0;
3682
#28; cAPi[15:0] =16'h80B3; cDPi[15:0] =16'h80B2;
3683
#28; cAPi[15:0] =16'h80B5; cDPi[15:0] =16'h80B4;
3684
#28; cAPi[15:0] =16'h80B7; cDPi[15:0] =16'h80B6;
3685
#28; cAPi[15:0] =16'h80B9; cDPi[15:0] =16'h80B8;
3686
#28; cAPi[15:0] =16'h80BB; cDPi[15:0] =16'h80BA;
3687
#28; cAPi[15:0] =16'h80BD; cDPi[15:0] =16'h80BC;
3688
#28; cAPi[15:0] =16'h80BF; cDPi[15:0] =16'h80BE;
3689
#21; bcWr =1'b1;
3690
#7 ; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;
3691
#56;
3692
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
3693
#140;
3694
////- =================
3695
#28;
3696
#7; cDK =1'b1;
3697
#70; bcWr =1'b0;
3698
#7; cAPi[15:0] =16'h80C1; cDPi[15:0] =16'h80C0;
3699
#28; cAPi[15:0] =16'h80C3; cDPi[15:0] =16'h80C2;
3700
#28; cAPi[15:0] =16'h80C5; cDPi[15:0] =16'h80C4;
3701
#28; cAPi[15:0] =16'h80C7; cDPi[15:0] =16'h80C6;
3702
#28; cAPi[15:0] =16'h80C9; cDPi[15:0] =16'h80C8;
3703
#28; cAPi[15:0] =16'h80CB; cDPi[15:0] =16'h80CA;
3704
#28; cAPi[15:0] =16'h80CD; cDPi[15:0] =16'h80CC;
3705
#28; cAPi[15:0] =16'h80CF; cDPi[15:0] =16'h80CE;
3706
#28; cAPi[15:0] =16'h80D1; cDPi[15:0] =16'h80D0;
3707
#28; cAPi[15:0] =16'h80D3; cDPi[15:0] =16'h80D2;
3708
#28; cAPi[15:0] =16'h80D5; cDPi[15:0] =16'h80D4;
3709
#28; cAPi[15:0] =16'h80D7; cDPi[15:0] =16'h80D6;
3710
#28; cAPi[15:0] =16'h80D9; cDPi[15:0] =16'h80D8;
3711
#28; cAPi[15:0] =16'h80DB; cDPi[15:0] =16'h80DA;
3712
#28; cAPi[15:0] =16'h80DD; cDPi[15:0] =16'h80DC;
3713
#28; cAPi[15:0] =16'h80DF; cDPi[15:0] =16'h80DE;
3714
#28; cAPi[15:0] =16'h80E1; cDPi[15:0] =16'h80E0;
3715
#28; cAPi[15:0] =16'h80E3; cDPi[15:0] =16'h80E2;
3716
#28; cAPi[15:0] =16'h80E5; cDPi[15:0] =16'h80E4;
3717
#28; cAPi[15:0] =16'h80E7; cDPi[15:0] =16'h80E6;
3718
#28; cAPi[15:0] =16'h80E9; cDPi[15:0] =16'h80E8;
3719
#28; cAPi[15:0] =16'h80EB; cDPi[15:0] =16'h80EA;
3720
#28; cAPi[15:0] =16'h80ED; cDPi[15:0] =16'h80EC;
3721
#28; cAPi[15:0] =16'h80EF; cDPi[15:0] =16'h80EE;
3722
#28; cAPi[15:0] =16'h80F1; cDPi[15:0] =16'h80F0;
3723
#28; cAPi[15:0] =16'h80F3; cDPi[15:0] =16'h80F2;
3724
#28; cAPi[15:0] =16'h80F5; cDPi[15:0] =16'h80F4;
3725
#28; cAPi[15:0] =16'h80F7; cDPi[15:0] =16'h80F6;
3726
#28; cAPi[15:0] =16'h80F9; cDPi[15:0] =16'h80F8;
3727
#28; cAPi[15:0] =16'h80FB; cDPi[15:0] =16'h80FA;
3728
#28; cAPi[15:0] =16'h80FD; cDPi[15:0] =16'h80FC;
3729
#28; cAPi[15:0] =16'h80FF; cDPi[15:0] =16'h80FE;
3730
#21; bcWr =1'b1;
3731
#7 ; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;
3732
#56;
3733
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
3734
#140;
3735
////- =================
3736
//- Second data burst
3737
////- =================
3738
 
3739
#280;
3740
#7; cDK =1'b1;
3741
#70; bcWr =1'b0;
3742
#7; cAPi[15:0] =16'h8101; cDPi[15:0] =16'h8100;
3743
#28; cAPi[15:0] =16'h8103; cDPi[15:0] =16'h8102;
3744
#28; cAPi[15:0] =16'h8105; cDPi[15:0] =16'h8104;
3745
#28; cAPi[15:0] =16'h8107; cDPi[15:0] =16'h8106;
3746
#28; cAPi[15:0] =16'h8109; cDPi[15:0] =16'h8108;
3747
#28; cAPi[15:0] =16'h810B; cDPi[15:0] =16'h810A;
3748
#28; cAPi[15:0] =16'h810D; cDPi[15:0] =16'h810C;
3749
#28; cAPi[15:0] =16'h810F; cDPi[15:0] =16'h810E;
3750
#28; cAPi[15:0] =16'h8111; cDPi[15:0] =16'h8110;
3751
#28; cAPi[15:0] =16'h8113; cDPi[15:0] =16'h8112;
3752
#28; cAPi[15:0] =16'h8115; cDPi[15:0] =16'h8114;
3753
#28; cAPi[15:0] =16'h8117; cDPi[15:0] =16'h8116;
3754
#28; cAPi[15:0] =16'h8119; cDPi[15:0] =16'h8118;
3755
#28; cAPi[15:0] =16'h811B; cDPi[15:0] =16'h811A;
3756
#28; cAPi[15:0] =16'h811D; cDPi[15:0] =16'h811C;
3757
#28; cAPi[15:0] =16'h811F; cDPi[15:0] =16'h811E;
3758
#28; cAPi[15:0] =16'h8121; cDPi[15:0] =16'h8120;
3759
#28; cAPi[15:0] =16'h8123; cDPi[15:0] =16'h8122;
3760
#28; cAPi[15:0] =16'h8125; cDPi[15:0] =16'h8124;
3761
#28; cAPi[15:0] =16'h8127; cDPi[15:0] =16'h8126;
3762
#28; cAPi[15:0] =16'h8129; cDPi[15:0] =16'h8128;
3763
#28; cAPi[15:0] =16'h812B; cDPi[15:0] =16'h812A;
3764
#28; cAPi[15:0] =16'h812D; cDPi[15:0] =16'h812C;
3765
#28; cAPi[15:0] =16'h812F; cDPi[15:0] =16'h812E;
3766
#28; cAPi[15:0] =16'h8131; cDPi[15:0] =16'h8130;
3767
#28; cAPi[15:0] =16'h8133; cDPi[15:0] =16'h8132;
3768
#28; cAPi[15:0] =16'h8135; cDPi[15:0] =16'h8134;
3769
#28; cAPi[15:0] =16'h8137; cDPi[15:0] =16'h8136;
3770
#28; cAPi[15:0] =16'h8139; cDPi[15:0] =16'h8138;
3771
#28; cAPi[15:0] =16'h813B; cDPi[15:0] =16'h813A;
3772
#28; cAPi[15:0] =16'h813D; cDPi[15:0] =16'h813C;
3773
#28; cAPi[15:0] =16'h813F; cDPi[15:0] =16'h813E;
3774
#21; bcWr =1'b1;
3775
#7 ; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;
3776
#56;
3777
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
3778
#140;
3779
////- =================
3780
#28;
3781
#7; cDK =1'b1;
3782
#70; bcWr =1'b0;
3783
#7; cAPi[15:0] =16'h8141; cDPi[15:0] =16'h8140;
3784
#28; cAPi[15:0] =16'h8143; cDPi[15:0] =16'h8142;
3785
#28; cAPi[15:0] =16'h8145; cDPi[15:0] =16'h8144;
3786
#28; cAPi[15:0] =16'h8147; cDPi[15:0] =16'h8146;
3787
#28; cAPi[15:0] =16'h8149; cDPi[15:0] =16'h8148;
3788
#28; cAPi[15:0] =16'h814B; cDPi[15:0] =16'h814A;
3789
#28; cAPi[15:0] =16'h814D; cDPi[15:0] =16'h814C;
3790
#28; cAPi[15:0] =16'h814F; cDPi[15:0] =16'h814E;
3791
#28; cAPi[15:0] =16'h8151; cDPi[15:0] =16'h8150;
3792
#28; cAPi[15:0] =16'h8153; cDPi[15:0] =16'h8152;
3793
#28; cAPi[15:0] =16'h8155; cDPi[15:0] =16'h8154;
3794
#28; cAPi[15:0] =16'h8157; cDPi[15:0] =16'h8156;
3795
#28; cAPi[15:0] =16'h8159; cDPi[15:0] =16'h8158;
3796
#28; cAPi[15:0] =16'h815B; cDPi[15:0] =16'h815A;
3797
#28; cAPi[15:0] =16'h815D; cDPi[15:0] =16'h815C;
3798
#28; cAPi[15:0] =16'h815F; cDPi[15:0] =16'h815E;
3799
#28; cAPi[15:0] =16'h8161; cDPi[15:0] =16'h8160;
3800
#28; cAPi[15:0] =16'h8163; cDPi[15:0] =16'h8162;
3801
#28; cAPi[15:0] =16'h8165; cDPi[15:0] =16'h8164;
3802
#28; cAPi[15:0] =16'h8167; cDPi[15:0] =16'h8166;
3803
#28; cAPi[15:0] =16'h8169; cDPi[15:0] =16'h8168;
3804
#28; cAPi[15:0] =16'h816B; cDPi[15:0] =16'h816A;
3805
#28; cAPi[15:0] =16'h816D; cDPi[15:0] =16'h816C;
3806
#28; cAPi[15:0] =16'h816F; cDPi[15:0] =16'h816E;
3807
#28; cAPi[15:0] =16'h8171; cDPi[15:0] =16'h8170;
3808
#28; cAPi[15:0] =16'h8173; cDPi[15:0] =16'h8172;
3809
#28; cAPi[15:0] =16'h8175; cDPi[15:0] =16'h8174;
3810
#28; cAPi[15:0] =16'h8177; cDPi[15:0] =16'h8176;
3811
#28; cAPi[15:0] =16'h8179; cDPi[15:0] =16'h8178;
3812
#28; cAPi[15:0] =16'h817B; cDPi[15:0] =16'h817A;
3813
#28; cAPi[15:0] =16'h817D; cDPi[15:0] =16'h817C;
3814
#28; cAPi[15:0] =16'h817F; cDPi[15:0] =16'h817E;
3815
#21; bcWr =1'b1;
3816
#7 ; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;
3817
#56;
3818
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
3819
#140;
3820
////- =================
3821
#28;
3822
#7; cDK =1'b1;
3823
#70; bcWr =1'b0;
3824
#7; cAPi[15:0] =16'h8181; cDPi[15:0] =16'h8180;
3825
#28; cAPi[15:0] =16'h8183; cDPi[15:0] =16'h8182;
3826
#28; cAPi[15:0] =16'h8185; cDPi[15:0] =16'h8184;
3827
#28; cAPi[15:0] =16'h8187; cDPi[15:0] =16'h8186;
3828
#28; cAPi[15:0] =16'h8189; cDPi[15:0] =16'h8188;
3829
#28; cAPi[15:0] =16'h818B; cDPi[15:0] =16'h818A;
3830
#28; cAPi[15:0] =16'h818D; cDPi[15:0] =16'h818C;
3831
#28; cAPi[15:0] =16'h818F; cDPi[15:0] =16'h818E;
3832
#28; cAPi[15:0] =16'h8191; cDPi[15:0] =16'h8190;
3833
#28; cAPi[15:0] =16'h8193; cDPi[15:0] =16'h8192;
3834
#28; cAPi[15:0] =16'h8195; cDPi[15:0] =16'h8194;
3835
#28; cAPi[15:0] =16'h8197; cDPi[15:0] =16'h8196;
3836
#28; cAPi[15:0] =16'h8199; cDPi[15:0] =16'h8198;
3837
#28; cAPi[15:0] =16'h819B; cDPi[15:0] =16'h819A;
3838
#28; cAPi[15:0] =16'h819D; cDPi[15:0] =16'h819C;
3839
#28; cAPi[15:0] =16'h819F; cDPi[15:0] =16'h819E;
3840
#28; cAPi[15:0] =16'h81A1; cDPi[15:0] =16'h81A0;
3841
#28; cAPi[15:0] =16'h81A3; cDPi[15:0] =16'h81A2;
3842
#28; cAPi[15:0] =16'h81A5; cDPi[15:0] =16'h81A4;
3843
#28; cAPi[15:0] =16'h81A7; cDPi[15:0] =16'h81A6;
3844
#28; cAPi[15:0] =16'h81A9; cDPi[15:0] =16'h81A8;
3845
#28; cAPi[15:0] =16'h81AB; cDPi[15:0] =16'h81AA;
3846
#28; cAPi[15:0] =16'h81AD; cDPi[15:0] =16'h81AC;
3847
#28; cAPi[15:0] =16'h81AF; cDPi[15:0] =16'h81AE;
3848
#28; cAPi[15:0] =16'h81B1; cDPi[15:0] =16'h81B0;
3849
#28; cAPi[15:0] =16'h81B3; cDPi[15:0] =16'h81B2;
3850
#28; cAPi[15:0] =16'h81B5; cDPi[15:0] =16'h81B4;
3851
#28; cAPi[15:0] =16'h81B7; cDPi[15:0] =16'h81B6;
3852
#28; cAPi[15:0] =16'h81B9; cDPi[15:0] =16'h81B8;
3853
#28; cAPi[15:0] =16'h81BB; cDPi[15:0] =16'h81BA;
3854
#28; cAPi[15:0] =16'h81BD; cDPi[15:0] =16'h81BC;
3855
#28; cAPi[15:0] =16'h81BF; cDPi[15:0] =16'h81BE;
3856
#21; bcWr =1'b1;
3857
#7 ; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;
3858
#56;
3859
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
3860
#140;
3861
////- =================
3862
#28;
3863
#7; cDK =1'b1;
3864
#70; bcWr =1'b0;
3865
#7; cAPi[15:0] =16'h81C1; cDPi[15:0] =16'h81C0;
3866
#28; cAPi[15:0] =16'h81C3; cDPi[15:0] =16'h81C2;
3867
#28; cAPi[15:0] =16'h81C5; cDPi[15:0] =16'h81C4;
3868
#28; cAPi[15:0] =16'h81C7; cDPi[15:0] =16'h81C6;
3869
#28; cAPi[15:0] =16'h81C9; cDPi[15:0] =16'h81C8;
3870
#28; cAPi[15:0] =16'h81CB; cDPi[15:0] =16'h81CA;
3871
#28; cAPi[15:0] =16'h81CD; cDPi[15:0] =16'h81CC;
3872
#28; cAPi[15:0] =16'h81CF; cDPi[15:0] =16'h81CE;
3873
#28; cAPi[15:0] =16'h81D1; cDPi[15:0] =16'h81D0;
3874
#28; cAPi[15:0] =16'h81D3; cDPi[15:0] =16'h81D2;
3875
#28; cAPi[15:0] =16'h81D5; cDPi[15:0] =16'h81D4;
3876
#28; cAPi[15:0] =16'h81D7; cDPi[15:0] =16'h81D6;
3877
#28; cAPi[15:0] =16'h81D9; cDPi[15:0] =16'h81D8;
3878
#28; cAPi[15:0] =16'h81DB; cDPi[15:0] =16'h81DA;
3879
#28; cAPi[15:0] =16'h81DD; cDPi[15:0] =16'h81DC;
3880
#28; cAPi[15:0] =16'h81DF; cDPi[15:0] =16'h81DE;
3881
#28; cAPi[15:0] =16'h81E1; cDPi[15:0] =16'h81E0;
3882
#28; cAPi[15:0] =16'h81E3; cDPi[15:0] =16'h81E2;
3883
#28; cAPi[15:0] =16'h81E5; cDPi[15:0] =16'h81E4;
3884
#28; cAPi[15:0] =16'h81E7; cDPi[15:0] =16'h81E6;
3885
#28; cAPi[15:0] =16'h81E9; cDPi[15:0] =16'h81E8;
3886
#28; cAPi[15:0] =16'h81EB; cDPi[15:0] =16'h81EA;
3887
#28; cAPi[15:0] =16'h81ED; cDPi[15:0] =16'h81EC;
3888
#28; cAPi[15:0] =16'h81EF; cDPi[15:0] =16'h81EE;
3889
#28; cAPi[15:0] =16'h81F1; cDPi[15:0] =16'h81F0;
3890
#28; cAPi[15:0] =16'h81F3; cDPi[15:0] =16'h81F2;
3891
#28; cAPi[15:0] =16'h81F5; cDPi[15:0] =16'h81F4;
3892
#28; cAPi[15:0] =16'h81F7; cDPi[15:0] =16'h81F6;
3893
#28; cAPi[15:0] =16'h81F9; cDPi[15:0] =16'h81F8;
3894
#28; cAPi[15:0] =16'h81FB; cDPi[15:0] =16'h81FA;
3895
#28; cAPi[15:0] =16'h81FD; cDPi[15:0] =16'h81FC;
3896
#28; cAPi[15:0] =16'h81FF; cDPi[15:0] =16'h81FE;
3897
#21; bcWr =1'b1;
3898
#7 ; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;
3899
#56;
3900
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
3901
#140;
3902
////- =================
3903
#64512;
3904
 
3905
//- start DMA REQ process  Mode 2 =18 Clock2 for each DMA read (252 ns per pulse )
3906
//////// =========one burst of PS2 DMA data ==================
3907
 
3908
//////////////-======the third burst
3909
#280;
3910
#7 ; cDK =1'b1;
3911
#63; bcWr =1'b0;
3912
#14; cAPi[15:0] =16'h8201; cDPi[15:0] =16'h8200;
3913
#28; cAPi[15:0] =16'h8203; cDPi[15:0] =16'h8202;
3914
#28; cAPi[15:0] =16'h8205; cDPi[15:0] =16'h8204;
3915
#28; cAPi[15:0] =16'h8207; cDPi[15:0] =16'h8206;
3916
#28; cAPi[15:0] =16'h8209; cDPi[15:0] =16'h8208;
3917
#28; cAPi[15:0] =16'h820B; cDPi[15:0] =16'h820A;
3918
#28; cAPi[15:0] =16'h820D; cDPi[15:0] =16'h820C;
3919
#28; cAPi[15:0] =16'h820F; cDPi[15:0] =16'h820E;
3920
#28; cAPi[15:0] =16'h8211; cDPi[15:0] =16'h8210;
3921
#28; cAPi[15:0] =16'h8213; cDPi[15:0] =16'h8212;
3922
#28; cAPi[15:0] =16'h8215; cDPi[15:0] =16'h8214;
3923
#28; cAPi[15:0] =16'h8217; cDPi[15:0] =16'h8216;
3924
#28; cAPi[15:0] =16'h8219; cDPi[15:0] =16'h8218;
3925
#28; cAPi[15:0] =16'h821B; cDPi[15:0] =16'h821A;
3926
#28; cAPi[15:0] =16'h821D; cDPi[15:0] =16'h821C;
3927
#28; cAPi[15:0] =16'h821F; cDPi[15:0] =16'h821E;
3928
#28; cAPi[15:0] =16'h8221; cDPi[15:0] =16'h8220;
3929
#28; cAPi[15:0] =16'h8223; cDPi[15:0] =16'h8222;
3930
#28; cAPi[15:0] =16'h8225; cDPi[15:0] =16'h8224;
3931
#28; cAPi[15:0] =16'h8227; cDPi[15:0] =16'h8226;
3932
#28; cAPi[15:0] =16'h8229; cDPi[15:0] =16'h8228;
3933
#28; cAPi[15:0] =16'h822B; cDPi[15:0] =16'h822A;
3934
#28; cAPi[15:0] =16'h822D; cDPi[15:0] =16'h822C;
3935
#28; cAPi[15:0] =16'h822F; cDPi[15:0] =16'h822E;
3936
#28; cAPi[15:0] =16'h8231; cDPi[15:0] =16'h8230;
3937
#28; cAPi[15:0] =16'h8233; cDPi[15:0] =16'h8232;
3938
#28; cAPi[15:0] =16'h8235; cDPi[15:0] =16'h8234;
3939
#28; cAPi[15:0] =16'h8237; cDPi[15:0] =16'h8236;
3940
#28; cAPi[15:0] =16'h8239; cDPi[15:0] =16'h8238;
3941
#28; cAPi[15:0] =16'h823B; cDPi[15:0] =16'h823A;
3942
#28; cAPi[15:0] =16'h823D; cDPi[15:0] =16'h823C;
3943
#28; cAPi[15:0] =16'h823F; cDPi[15:0] =16'h823E;
3944
#14; bcWr =1'b1;
3945
#14; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;  ////// now a complete cycle
3946
#56;
3947
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
3948
#140;
3949
//// ====
3950
#28; cDK =1'b1;
3951
#70; bcWr =1'b0;
3952
#14; cAPi[15:0] =16'h8241; cDPi[15:0] =16'h8240;
3953
#28; cAPi[15:0] =16'h8243; cDPi[15:0] =16'h8242;
3954
#28; cAPi[15:0] =16'h8245; cDPi[15:0] =16'h8244;
3955
#28; cAPi[15:0] =16'h8247; cDPi[15:0] =16'h8246;
3956
#28; cAPi[15:0] =16'h8249; cDPi[15:0] =16'h8248;
3957
#28; cAPi[15:0] =16'h824B; cDPi[15:0] =16'h824A;
3958
#28; cAPi[15:0] =16'h824D; cDPi[15:0] =16'h824C;
3959
#28; cAPi[15:0] =16'h824F; cDPi[15:0] =16'h824E;
3960
#28; cAPi[15:0] =16'h8251; cDPi[15:0] =16'h8250;
3961
#28; cAPi[15:0] =16'h8253; cDPi[15:0] =16'h8252;
3962
#28; cAPi[15:0] =16'h8255; cDPi[15:0] =16'h8254;
3963
#28; cAPi[15:0] =16'h8257; cDPi[15:0] =16'h8256;
3964
#28; cAPi[15:0] =16'h8259; cDPi[15:0] =16'h8258;
3965
#28; cAPi[15:0] =16'h825B; cDPi[15:0] =16'h825A;
3966
#28; cAPi[15:0] =16'h825D; cDPi[15:0] =16'h825C;
3967
#28; cAPi[15:0] =16'h825F; cDPi[15:0] =16'h825E;
3968
#28; cAPi[15:0] =16'h8261; cDPi[15:0] =16'h8260;
3969
#28; cAPi[15:0] =16'h8263; cDPi[15:0] =16'h8262;
3970
#28; cAPi[15:0] =16'h8265; cDPi[15:0] =16'h8264;
3971
#28; cAPi[15:0] =16'h8267; cDPi[15:0] =16'h8266;
3972
#28; cAPi[15:0] =16'h8269; cDPi[15:0] =16'h8268;
3973
#28; cAPi[15:0] =16'h826B; cDPi[15:0] =16'h826A;
3974
#28; cAPi[15:0] =16'h826D; cDPi[15:0] =16'h826C;
3975
#28; cAPi[15:0] =16'h826F; cDPi[15:0] =16'h826E;
3976
#28; cAPi[15:0] =16'h8271; cDPi[15:0] =16'h8270;
3977
#28; cAPi[15:0] =16'h8273; cDPi[15:0] =16'h8272;
3978
#28; cAPi[15:0] =16'h8275; cDPi[15:0] =16'h8274;
3979
#28; cAPi[15:0] =16'h8277; cDPi[15:0] =16'h8276;
3980
#28; cAPi[15:0] =16'h8279; cDPi[15:0] =16'h8278;
3981
#28; cAPi[15:0] =16'h827B; cDPi[15:0] =16'h827A;
3982
#28; cAPi[15:0] =16'h827D; cDPi[15:0] =16'h827C;
3983
#28; cAPi[15:0] =16'h827F; cDPi[15:0] =16'h827E;
3984
#14; bcWr =1'b1;
3985
#14; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;  ////// now a complete cycle
3986
#56;
3987
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
3988
#140;
3989
//// =========
3990
#28; cDK =1'b1;
3991
#70; bcWr =1'b0;
3992
#14; cAPi[15:0] =16'h8281; cDPi[15:0] =16'h8280;
3993
#28; cAPi[15:0] =16'h8283; cDPi[15:0] =16'h8282;
3994
#28; cAPi[15:0] =16'h8285; cDPi[15:0] =16'h8284;
3995
#28; cAPi[15:0] =16'h8287; cDPi[15:0] =16'h8286;
3996
#28; cAPi[15:0] =16'h8289; cDPi[15:0] =16'h8288;
3997
#28; cAPi[15:0] =16'h828B; cDPi[15:0] =16'h828A;
3998
#28; cAPi[15:0] =16'h828D; cDPi[15:0] =16'h828C;
3999
#28; cAPi[15:0] =16'h828F; cDPi[15:0] =16'h828E;
4000
#28; cAPi[15:0] =16'h8291; cDPi[15:0] =16'h8290;
4001
#28; cAPi[15:0] =16'h8293; cDPi[15:0] =16'h8292;
4002
#28; cAPi[15:0] =16'h8295; cDPi[15:0] =16'h8294;
4003
#28; cAPi[15:0] =16'h8297; cDPi[15:0] =16'h8296;
4004
#28; cAPi[15:0] =16'h8299; cDPi[15:0] =16'h8298;
4005
#28; cAPi[15:0] =16'h829B; cDPi[15:0] =16'h829A;
4006
#28; cAPi[15:0] =16'h829D; cDPi[15:0] =16'h829C;
4007
#28; cAPi[15:0] =16'h829F; cDPi[15:0] =16'h829E;
4008
#28; cAPi[15:0] =16'h82A1; cDPi[15:0] =16'h82A0;
4009
#28; cAPi[15:0] =16'h82A3; cDPi[15:0] =16'h82A2;
4010
#28; cAPi[15:0] =16'h82A5; cDPi[15:0] =16'h82A4;
4011
#28; cAPi[15:0] =16'h82A7; cDPi[15:0] =16'h82A6;
4012
#28; cAPi[15:0] =16'h82A9; cDPi[15:0] =16'h82A8;
4013
#28; cAPi[15:0] =16'h82AB; cDPi[15:0] =16'h82AA;
4014
#28; cAPi[15:0] =16'h82AD; cDPi[15:0] =16'h82AC;
4015
#28; cAPi[15:0] =16'h82AF; cDPi[15:0] =16'h82AE;
4016
#28; cAPi[15:0] =16'h82B1; cDPi[15:0] =16'h82B0;
4017
#28; cAPi[15:0] =16'h82B3; cDPi[15:0] =16'h82B2;
4018
#28; cAPi[15:0] =16'h82B5; cDPi[15:0] =16'h82B4;
4019
#28; cAPi[15:0] =16'h82B7; cDPi[15:0] =16'h82B6;
4020
#28; cAPi[15:0] =16'h82B9; cDPi[15:0] =16'h82B8;
4021
#28; cAPi[15:0] =16'h82BB; cDPi[15:0] =16'h82BA;
4022
#28; cAPi[15:0] =16'h82BD; cDPi[15:0] =16'h82BC;
4023
#28; cAPi[15:0] =16'h82BF; cDPi[15:0] =16'h82BE;
4024
#14; bcWr =1'b1;
4025
#14; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;  ////// now a complete cycle
4026
#56;
4027
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
4028
#140;
4029
//////=======
4030
#28; cDK =1'b1;
4031
#70; bcWr =1'b0;
4032
#14; cAPi[15:0] =16'h82C1; cDPi[15:0] =16'h82C0;
4033
#28; cAPi[15:0] =16'h82C3; cDPi[15:0] =16'h82C2;
4034
#28; cAPi[15:0] =16'h82C5; cDPi[15:0] =16'h82C4;
4035
#28; cAPi[15:0] =16'h82C7; cDPi[15:0] =16'h82C6;
4036
#28; cAPi[15:0] =16'h82C9; cDPi[15:0] =16'h82C8;
4037
#28; cAPi[15:0] =16'h82CB; cDPi[15:0] =16'h82CA;
4038
#28; cAPi[15:0] =16'h82CD; cDPi[15:0] =16'h82CC;
4039
#28; cAPi[15:0] =16'h82CF; cDPi[15:0] =16'h82CE;
4040
#28; cAPi[15:0] =16'h82D1; cDPi[15:0] =16'h82D0;
4041
#28; cAPi[15:0] =16'h82D3; cDPi[15:0] =16'h82D2;
4042
#28; cAPi[15:0] =16'h82D5; cDPi[15:0] =16'h82D4;
4043
#28; cAPi[15:0] =16'h82D7; cDPi[15:0] =16'h82D6;
4044
#28; cAPi[15:0] =16'h82D9; cDPi[15:0] =16'h82D8;
4045
#28; cAPi[15:0] =16'h82DB; cDPi[15:0] =16'h82DA;
4046
#28; cAPi[15:0] =16'h82DD; cDPi[15:0] =16'h82DC;
4047
#28; cAPi[15:0] =16'h82DF; cDPi[15:0] =16'h82DE;
4048
#28; cAPi[15:0] =16'h82E1; cDPi[15:0] =16'h82E0;
4049
#28; cAPi[15:0] =16'h82E3; cDPi[15:0] =16'h82E2;
4050
#28; cAPi[15:0] =16'h82E5; cDPi[15:0] =16'h82E4;
4051
#28; cAPi[15:0] =16'h82E7; cDPi[15:0] =16'h82E6;
4052
#28; cAPi[15:0] =16'h82E9; cDPi[15:0] =16'h82E8;
4053
#28; cAPi[15:0] =16'h82EB; cDPi[15:0] =16'h82EA;
4054
#28; cAPi[15:0] =16'h82ED; cDPi[15:0] =16'h82EC;
4055
#28; cAPi[15:0] =16'h82EF; cDPi[15:0] =16'h82EE;
4056
#28; cAPi[15:0] =16'h82F1; cDPi[15:0] =16'h82F0;
4057
#28; cAPi[15:0] =16'h82F3; cDPi[15:0] =16'h82F2;
4058
#28; cAPi[15:0] =16'h82F5; cDPi[15:0] =16'h82F4;
4059
#28; cAPi[15:0] =16'h82F7; cDPi[15:0] =16'h82F6;
4060
#28; cAPi[15:0] =16'h82F9; cDPi[15:0] =16'h82F8;
4061
#28; cAPi[15:0] =16'h82FB; cDPi[15:0] =16'h82FA;
4062
#28; cAPi[15:0] =16'h82FD; cDPi[15:0] =16'h82FC;
4063
#28; cAPi[15:0] =16'h82FF; cDPi[15:0] =16'h82FE;
4064
#14; bcWr =1'b1;
4065
#14; cAPi[15:0] =16'hZZZZ; cDPi[15:0] =16'hZZZZ;  ////// now a complete cycle
4066
#56;
4067
#7 ; cDK =1'b0; #21;    ////// now a complete cycle
4068
#140;
4069
////// =========
4070
#16128; iDQ =1'b0;
4071
#560; iDQ =1'b1;
4072
#16128; iDQ =1'b0;              // 126ns(DMA cycle x 256 pulse)
4073
#924;
4074
 
4075
////-^^^^^^^^^^^^^^^^^^^^^^^^^
4076
//// ===================check for PS2 DMA Write to IDE
4077
        #112; cAPi[15:0] =16'h0038;      cDPi[15:0] =16'h0003; // write 0038(0003)
4078
        # 14; bcCS =1'b0;
4079
        # 14; bcRd =1'b1; bcWr =1'b0;
4080
        #196; bcRd =1'b1; bcWr =1'b1;
4081
        # 14; bcCS =1'b1;
4082
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4083
        #112; cAPi[15:0] =16'h0064;      cDPi[15:0] =16'h004F; // write 0064(004F)
4084
        # 14; bcCS =1'b0;
4085
        # 14; bcRd =1'b1; bcWr =1'b0;
4086
        #196; bcRd =1'b1; bcWr =1'b1;
4087
        # 14; bcCS =1'b1;
4088
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4089
////- ====set U-DMA mode 2
4090
        #112; cAPi[15:0] =16'h0042;      cDPi[15:0] =16'h0003; // write 0042(0003)
4091
        # 14; bcCS =1'b0;
4092
        # 14; bcRd =1'b1; bcWr =1'b0;
4093
        #196; bcRd =1'b1; bcWr =1'b1;
4094
        # 14; bcCS =1'b1;
4095
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4096
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0044; // write 0044(0044) UDMA-MODE2
4097
        # 14; bcCS =1'b0;
4098
        # 14; bcRd =1'b1; bcWr =1'b0;
4099
        #196; bcRd =1'b1; bcWr =1'b1;
4100
        # 14; bcCS =1'b1;
4101
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4102
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00EF; // write 004E(00EF)
4103
        # 14; bcCS =1'b0;
4104
        # 14; bcRd =1'b1; bcWr =1'b0;
4105
        #196; bcRd =1'b1; bcWr =1'b1;
4106
        # 14; bcCS =1'b1;
4107
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4108
////// ====================================
4109
        #112; cAPi[15:0] =16'h0032;      cDPi[15:0] =16'h0086; // write 0032(0086)
4110
        # 14; bcCS =1'b0;
4111
        # 14; bcRd =1'b1; bcWr =1'b0;
4112
        #196; bcRd =1'b1; bcWr =1'b1;
4113
        # 14; bcCS =1'b1;
4114
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4115
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0001; // write 0044(0001)
4116
        # 14; bcCS =1'b0;
4117
        # 14; bcRd =1'b1; bcWr =1'b0;
4118
        #196; bcRd =1'b1; bcWr =1'b1;
4119
        # 14; bcCS =1'b1;
4120
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4121
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00CA; // write 004E(00CA)
4122
        # 14; bcCS =1'b0;
4123
        # 14; bcRd =1'b1; bcWr =1'b0;
4124
        #196; bcRd =1'b1; bcWr =1'b1;
4125
        # 14; bcCS =1'b1;
4126
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4127
        #112; cAPi[15:0] =16'h0024;      cDPi[15:0] =16'h0006; // write 0024(0006)
4128
        # 14; bcCS =1'b0;
4129
        # 14; bcRd =1'b1; bcWr =1'b0;
4130
        #196; bcRd =1'b1; bcWr =1'b1;
4131
        # 14; bcCS =1'b1;
4132
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4133
        #112; cAPi[15:0] =16'h002E;      cDPi[15:0] =16'h0001; // write 002E(0001)
4134
        # 14; bcCS =1'b0;
4135
        # 14; bcRd =1'b1; bcWr =1'b0;
4136
        #196; bcRd =1'b1; bcWr =1'b1;
4137
        # 14; bcCS =1'b1;
4138
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4139
        #112; cAPi[15:0] =16'h002E;      cDPi[15:0] =16'h0000; // write 002E(0000)
4140
        # 14; bcCS =1'b0;
4141
        # 14; bcRd =1'b1; bcWr =1'b0;
4142
        #196; bcRd =1'b1; bcWr =1'b1;
4143
        # 14; bcCS =1'b1;
4144
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4145
//////
4146
        #504;   iDQ =1'b1; iRdy =1'b1;
4147
        #80;
4148
////// =======================
4149
        #13;    iDi[15:0] =16'h414C;     #13;    iRdy =1'b0;
4150
        #13;    iDi[15:0] =16'h4150;     #13;    iRdy =1'b1;
4151
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4152
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4153
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4154
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4155
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4156
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4157
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4158
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4159
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4160
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4161
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4162
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4163
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4164
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4165
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4166
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4167
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4168
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4169
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4170
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4171
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4172
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4173
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4174
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4175
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4176
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4177
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4178
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4179
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4180
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4181
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4182
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4183
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4184
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4185
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4186
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4187
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4188
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4189
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4190
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4191
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4192
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4193
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4194
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4195
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4196
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4197
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4198
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4199
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4200
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4201
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4202
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4203
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4204
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4205
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4206
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4207
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4208
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4209
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4210
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4211
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4212
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4213
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4214
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4215
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4216
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4217
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4218
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4219
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4220
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4221
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4222
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4223
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4224
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4225
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4226
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4227
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4228
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4229
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4230
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4231
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4232
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4233
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4234
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4235
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4236
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4237
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4238
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4239
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4240
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4241
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4242
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4243
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4244
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4245
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4246
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4247
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4248
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4249
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4250
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4251
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4252
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4253
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4254
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4255
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4256
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4257
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4258
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4259
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4260
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4261
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4262
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4263
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4264
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4265
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4266
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4267
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4268
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4269
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4270
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4271
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4272
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4273
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4274
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4275
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4276
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4277
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4278
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4279
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4280
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4281
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4282
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4283
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4284
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4285
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4286
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4287
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4288
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4289
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4290
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4291
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4292
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4293
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4294
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4295
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4296
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4297
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4298
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4299
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4300
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4301
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4302
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4303
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4304
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4305
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4306
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4307
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4308
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4309
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4310
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4311
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4312
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4313
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4314
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4315
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4316
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4317
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4318
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4319
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4320
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4321
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4322
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4323
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4324
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4325
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4326
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4327
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4328
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4329
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4330
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4331
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4332
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4333
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4334
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4335
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4336
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4337
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4338
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4339
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4340
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4341
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4342
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4343
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4344
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4345
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4346
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4347
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4348
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4349
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4350
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4351
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4352
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4353
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4354
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4355
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4356
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4357
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4358
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4359
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4360
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4361
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4362
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4363
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4364
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4365
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4366
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4367
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4368
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4369
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4370
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4371
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4372
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4373
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4374
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4375
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4376
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4377
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4378
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4379
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4380
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4381
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4382
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4383
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4384
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4385
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4386
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4387
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4388
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4389
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4390
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4391
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4392
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4393
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4394
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4395
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4396
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4397
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4398
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4399
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4400
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4401
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4402
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4403
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b0;
4404
        #13;    iDi[15:0] =16'h0000;     #13;    iRdy =1'b1;
4405
 
4406
        #26;    iDQ =1'b0;      iDi[15:0] =16'hZZZZ;
4407
        #280;
4408
 
4409
        #21;
4410
 
4411
 
4412
 
4413
//- start of data burst ======================================
4414
        #414; cDK =1'b1;        // start reading another DMA here
4415
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
4416
        #896; bcRd =1'b1;
4417
        # 70; cDK =1'b0;
4418
        #280; cDK =1'b1;        // start reading another DMA here
4419
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
4420
        #896; bcRd =1'b1;
4421
        # 70; cDK =1'b0;
4422
        #280; cDK =1'b1;        // start reading another DMA here
4423
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
4424
        #896; bcRd =1'b1;
4425
        # 70; cDK =1'b0;
4426
        #280; cDK =1'b1;        // start reading another DMA here
4427
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
4428
        #896; bcRd =1'b1;
4429
        # 70; cDK =1'b0;
4430
 
4431
 
4432
        #280;
4433
 
4434
////-^^^^^^^^^^^^^^^^^^^^^^^^^
4435
//// ===================check for PS2 DMA Write to IDE buffer full
4436
        #112; cAPi[15:0] =16'h0038;      cDPi[15:0] =16'h0003; // write 0038(0003)
4437
        # 14; bcCS =1'b0;
4438
        # 14; bcRd =1'b1; bcWr =1'b0;
4439
        #196; bcRd =1'b1; bcWr =1'b1;
4440
        # 14; bcCS =1'b1;
4441
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4442
        #112; cAPi[15:0] =16'h0064;      cDPi[15:0] =16'h004E; // write 0064(004F)
4443
        # 14; bcCS =1'b0;
4444
        # 14; bcRd =1'b1; bcWr =1'b0;
4445
        #196; bcRd =1'b1; bcWr =1'b1;
4446
        # 14; bcCS =1'b1;
4447
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4448
////- ====set UDMA mode 2
4449
        #112; cAPi[15:0] =16'h0042;      cDPi[15:0] =16'h0003; // write 0042(0003)
4450
        # 14; bcCS =1'b0;
4451
        # 14; bcRd =1'b1; bcWr =1'b0;
4452
        #196; bcRd =1'b1; bcWr =1'b1;
4453
        # 14; bcCS =1'b1;
4454
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4455
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0044; // write 0044(0044) UDMA - MODE 2
4456
        # 14; bcCS =1'b0;
4457
        # 14; bcRd =1'b1; bcWr =1'b0;
4458
        #196; bcRd =1'b1; bcWr =1'b1;
4459
        # 14; bcCS =1'b1;
4460
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4461
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00EF; // write 004E(00EF)
4462
        # 14; bcCS =1'b0;
4463
        # 14; bcRd =1'b1; bcWr =1'b0;
4464
        #196; bcRd =1'b1; bcWr =1'b1;
4465
        # 14; bcCS =1'b1;
4466
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4467
////// ====================================
4468
        #112; cAPi[15:0] =16'h0032;      cDPi[15:0] =16'h0086; // write 0032(0086)
4469
        # 14; bcCS =1'b0;
4470
        # 14; bcRd =1'b1; bcWr =1'b0;
4471
        #196; bcRd =1'b1; bcWr =1'b1;
4472
        # 14; bcCS =1'b1;
4473
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4474
        #112; cAPi[15:0] =16'h0044;      cDPi[15:0] =16'h0001; // write 0044(0001)
4475
        # 14; bcCS =1'b0;
4476
        # 14; bcRd =1'b1; bcWr =1'b0;
4477
        #196; bcRd =1'b1; bcWr =1'b1;
4478
        # 14; bcCS =1'b1;
4479
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4480
        #112; cAPi[15:0] =16'h004E;      cDPi[15:0] =16'h00CA; // write 004E(00CA)
4481
        # 14; bcCS =1'b0;
4482
        # 14; bcRd =1'b1; bcWr =1'b0;
4483
        #196; bcRd =1'b1; bcWr =1'b1;
4484
        # 14; bcCS =1'b1;
4485
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4486
        #112; cAPi[15:0] =16'h0024;      cDPi[15:0] =16'h0006; // write 0024(0006)
4487
        # 14; bcCS =1'b0;
4488
        # 14; bcRd =1'b1; bcWr =1'b0;
4489
        #196; bcRd =1'b1; bcWr =1'b1;
4490
        # 14; bcCS =1'b1;
4491
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4492
        #112; cAPi[15:0] =16'h002E;      cDPi[15:0] =16'h0001; // write 002E(0001)
4493
        # 14; bcCS =1'b0;
4494
        # 14; bcRd =1'b1; bcWr =1'b0;
4495
        #196; bcRd =1'b1; bcWr =1'b1;
4496
        # 14; bcCS =1'b1;
4497
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4498
        #112; cAPi[15:0] =16'h002E;      cDPi[15:0] =16'h0000; // write 002E(0000)
4499
        # 14; bcCS =1'b0;
4500
        # 14; bcRd =1'b1; bcWr =1'b0;
4501
        #196; bcRd =1'b1; bcWr =1'b1;
4502
        # 14; bcCS =1'b1;
4503
        # 14; cAPi[15:0] =16'hZZZZ;      cDPi[15:0] =16'hZZZZ;    iDi[15:0] =16'hZZZZ; // idle
4504
////
4505
        #504;   iDQ =1'b1; iRdy =1'b1;
4506
        #80;
4507
 
4508
 
4509
        #13;    iDi[15:0] =16'h9000;     #13;    iRdy =1'b0;
4510
        #13;    iDi[15:0] =16'h9001;     #13;    iRdy =1'b1;
4511
        #13;    iDi[15:0] =16'h9002;     #13;    iRdy =1'b0;
4512
        #13;    iDi[15:0] =16'h9003;     #13;    iRdy =1'b1;
4513
        #13;    iDi[15:0] =16'h9004;     #13;    iRdy =1'b0;
4514
        #13;    iDi[15:0] =16'h9005;     #13;    iRdy =1'b1;
4515
        #13;    iDi[15:0] =16'h9006;     #13;    iRdy =1'b0;
4516
        #13;    iDi[15:0] =16'h9007;     #13;    iRdy =1'b1;
4517
        #13;    iDi[15:0] =16'h9008;     #13;    iRdy =1'b0;
4518
        #13;    iDi[15:0] =16'h9009;     #13;    iRdy =1'b1;
4519
        #13;    iDi[15:0] =16'h900A;     #13;    iRdy =1'b0;
4520
        #13;    iDi[15:0] =16'h900B;     #13;    iRdy =1'b1;
4521
        #26;    iDi[15:0] =16'hZZZZ;
4522
 
4523
        #26;    iDQ     =1'b0;          // terminate this transfer
4524
        #280;
4525
//// resume the transfer
4526
        #56;    iDQ     =1'b1;
4527
        #86;
4528
        #13;    iDi[15:0] =16'h900C;     #13;    iRdy =1'b0;
4529
        #13;    iDi[15:0] =16'h900D;     #13;    iRdy =1'b1;
4530
        #13;    iDi[15:0] =16'h900E;     #13;    iRdy =1'b0;
4531
        #13;    iDi[15:0] =16'h900F;     #13;    iRdy =1'b1;
4532
        #13;    iDi[15:0] =16'h9010;     #13;    iRdy =1'b0;
4533
        #13;    iDi[15:0] =16'h9011;     #13;    iRdy =1'b1;
4534
        #13;    iDi[15:0] =16'h9012;     #13;    iRdy =1'b0;
4535
        #13;    iDi[15:0] =16'h9013;     #13;    iRdy =1'b1;
4536
        #13;    iDi[15:0] =16'h9014;     #13;    iRdy =1'b0;
4537
        #13;    iDi[15:0] =16'h9015;     #13;    iRdy =1'b1;
4538
        #13;    iDi[15:0] =16'h9016;     #13;    iRdy =1'b0;
4539
        #13;    iDi[15:0] =16'h9017;     #13;    iRdy =1'b1;
4540
        #13;    iDi[15:0] =16'h9018;     #13;    iRdy =1'b0;
4541
        #13;    iDi[15:0] =16'h9019;     #13;    iRdy =1'b1;
4542
        #13;    iDi[15:0] =16'h901A;     #13;    iRdy =1'b0;
4543
        #13;    iDi[15:0] =16'h901B;     #13;    iRdy =1'b1;
4544
        #13;    iDi[15:0] =16'h901C;     #13;    iRdy =1'b0;
4545
 
4546
 
4547
        #26;    iDi[15:0] =16'hZZZZ;
4548
 
4549
        #26;    iDQ     =1'b0;          // terminate this transfer
4550
        #86;    iRdy    =1'b1;          // raise the strobe
4551
        #140;
4552
//// resume the transfer
4553
        #56;    iDQ     =1'b1;
4554
        #86;
4555
        #13;    iDi[15:0] =16'h901D;     #13;    iRdy =1'b0;
4556
        #13;    iDi[15:0] =16'h901E;     #13;    iRdy =1'b1;
4557
        #13;    iDi[15:0] =16'h901F;     #13;    iRdy =1'b0;
4558
        #13;    iDi[15:0] =16'h9020;     #13;    iRdy =1'b1;
4559
        #13;    iDi[15:0] =16'h9021;     #13;    iRdy =1'b0;
4560
        #13;    iDi[15:0] =16'h9022;     #13;    iRdy =1'b1;
4561
        #13;    iDi[15:0] =16'h9023;     #13;    iRdy =1'b0;
4562
        #13;    iDi[15:0] =16'h9024;     #13;    iRdy =1'b1;
4563
        #13;    iDi[15:0] =16'h9025;     #13;    iRdy =1'b0;
4564
        #13;    iDi[15:0] =16'h9026;     #13;    iRdy =1'b1;
4565
        #13;    iDi[15:0] =16'h9027;     #13;    iRdy =1'b0;
4566
        #13;    iDi[15:0] =16'h9028;     #13;    iRdy =1'b1;
4567
        #13;    iDi[15:0] =16'h9029;     #13;    iRdy =1'b0;
4568
        #13;    iDi[15:0] =16'h902A;     #13;    iRdy =1'b1;
4569
        #13;    iDi[15:0] =16'h902B;     #13;    iRdy =1'b0;
4570
        #13;    iDi[15:0] =16'h902C;     #13;    iRdy =1'b1;
4571
        #13;    iDi[15:0] =16'h902D;     #13;    iRdy =1'b0;
4572
        #13;    iDi[15:0] =16'h902E;     #13;    iRdy =1'b1;
4573
        #13;    iDi[15:0] =16'h902F;     #13;    iRdy =1'b0;
4574
 
4575
 
4576
        #26;    iDi[15:0] =16'hZZZZ;
4577
 
4578
        #26;    iDQ     =1'b0;          // terminate this transfer
4579
        #80;    iRdy    =1'b1;          // raise the strobe
4580
        #140;
4581
//// resume the transfer
4582
        #56;    iDQ     =1'b1;
4583
        #78;
4584
 
4585
 
4586
        #13;    iDi[15:0] =16'h9030;     #13;    iRdy =1'b0;
4587
        #13;    iDi[15:0] =16'h9031;     #13;    iRdy =1'b1;
4588
        #13;    iDi[15:0] =16'h9032;     #13;    iRdy =1'b0;
4589
        #13;    iDi[15:0] =16'h9033;     #13;    iRdy =1'b1;
4590
        #13;    iDi[15:0] =16'h9034;     #13;    iRdy =1'b0;
4591
        #13;    iDi[15:0] =16'h9035;     #13;    iRdy =1'b1;
4592
        #13;    iDi[15:0] =16'h9036;     #13;    iRdy =1'b0;
4593
        #13;    iDi[15:0] =16'h9037;     #13;    iRdy =1'b1;
4594
        #13;    iDi[15:0] =16'h9038;     #13;    iRdy =1'b0;
4595
        #13;    iDi[15:0] =16'h9039;     #13;    iRdy =1'b1;
4596
        #13;    iDi[15:0] =16'h903A;     #13;    iRdy =1'b0;
4597
        #13;    iDi[15:0] =16'h903B;     #13;    iRdy =1'b1;
4598
        #13;    iDi[15:0] =16'h903C;     #13;    iRdy =1'b0;
4599
        #13;    iDi[15:0] =16'h903D;     #13;    iRdy =1'b1;
4600
        #13;    iDi[15:0] =16'h903E;     #13;    iRdy =1'b0;
4601
        #13;    iDi[15:0] =16'h903F;     #13;    iRdy =1'b1;
4602
        #13;    iDi[15:0] =16'h9040;     #13;    iRdy =1'b0;
4603
        #13;    iDi[15:0] =16'h9041;     #13;    iRdy =1'b1;
4604
        #13;    iDi[15:0] =16'h9042;     #13;    iRdy =1'b0;
4605
        #13;    iDi[15:0] =16'h9043;     #13;    iRdy =1'b1;
4606
        #13;    iDi[15:0] =16'h9044;     #13;    iRdy =1'b0;
4607
        #13;    iDi[15:0] =16'h9045;     #13;    iRdy =1'b1;
4608
        #13;    iDi[15:0] =16'h9046;     #13;    iRdy =1'b0;
4609
        #13;    iDi[15:0] =16'h9047;     #13;    iRdy =1'b1;
4610
        #13;    iDi[15:0] =16'h9048;     #13;    iRdy =1'b0;
4611
        #13;    iDi[15:0] =16'h9049;     #13;    iRdy =1'b1;
4612
        #13;    iDi[15:0] =16'h904A;     #13;    iRdy =1'b0;
4613
        #13;    iDi[15:0] =16'h904B;     #13;    iRdy =1'b1;
4614
        #13;    iDi[15:0] =16'h904C;     #13;    iRdy =1'b0;
4615
        #13;    iDi[15:0] =16'h904D;     #13;    iRdy =1'b1;
4616
        #13;    iDi[15:0] =16'h904E;     #13;    iRdy =1'b0;
4617
        #13;    iDi[15:0] =16'h904F;     #13;    iRdy =1'b1;
4618
        #13;    iDi[15:0] =16'h9050;     #13;    iRdy =1'b0;
4619
        #13;    iDi[15:0] =16'h9051;     #13;    iRdy =1'b1;
4620
        #13;    iDi[15:0] =16'h9052;     #13;    iRdy =1'b0;
4621
        #13;    iDi[15:0] =16'h9053;     #13;    iRdy =1'b1;
4622
        #13;    iDi[15:0] =16'h9054;     #13;    iRdy =1'b0;
4623
        #13;    iDi[15:0] =16'h9055;     #13;    iRdy =1'b1;
4624
        #13;    iDi[15:0] =16'h9056;     #13;    iRdy =1'b0;
4625
        #13;    iDi[15:0] =16'h9057;     #13;    iRdy =1'b1;
4626
        #13;    iDi[15:0] =16'h9058;     #13;    iRdy =1'b0;
4627
        #13;    iDi[15:0] =16'h9059;     #13;    iRdy =1'b1;
4628
        #13;    iDi[15:0] =16'h905A;     #13;    iRdy =1'b0;
4629
        #13;    iDi[15:0] =16'h905B;     #13;    iRdy =1'b1;
4630
        #13;    iDi[15:0] =16'h905C;     #13;    iRdy =1'b0;
4631
        #13;    iDi[15:0] =16'h905D;     #13;    iRdy =1'b1;
4632
        #13;    iDi[15:0] =16'h905E;     #13;    iRdy =1'b0;
4633
        #13;    iDi[15:0] =16'h905F;     #13;    iRdy =1'b1;
4634
        #13;    iDi[15:0] =16'h9060;     #13;    iRdy =1'b0;
4635
        #13;    iDi[15:0] =16'h9061;     #13;    iRdy =1'b1;
4636
        #13;    iDi[15:0] =16'h9062;     #13;    iRdy =1'b0;
4637
        #13;    iDi[15:0] =16'h9063;     #13;    iRdy =1'b1;
4638
        #13;    iDi[15:0] =16'h9064;     #13;    iRdy =1'b0;
4639
        #13;    iDi[15:0] =16'h9065;     #13;    iRdy =1'b1;
4640
        #13;    iDi[15:0] =16'h9066;     #13;    iRdy =1'b0;
4641
        #13;    iDi[15:0] =16'h9067;     #13;    iRdy =1'b1;
4642
        #13;    iDi[15:0] =16'h9068;     #13;    iRdy =1'b0;
4643
        #13;    iDi[15:0] =16'h9069;     #13;    iRdy =1'b1;
4644
        #13;    iDi[15:0] =16'h906A;     #13;    iRdy =1'b0;
4645
        #13;    iDi[15:0] =16'h906B;     #13;    iRdy =1'b1;
4646
        #13;    iDi[15:0] =16'h906C;     #13;    iRdy =1'b0;
4647
        #13;    iDi[15:0] =16'h906D;     #13;    iRdy =1'b1;
4648
        #13;    iDi[15:0] =16'h906E;     #13;    iRdy =1'b0;
4649
        #13;    iDi[15:0] =16'h906F;     #13;    iRdy =1'b1;
4650
        #13;    iDi[15:0] =16'h9070;     #13;    iRdy =1'b0;
4651
        #13;    iDi[15:0] =16'h9071;     #13;    iRdy =1'b1;
4652
        #13;    iDi[15:0] =16'h9072;     #13;    iRdy =1'b0;
4653
        #13;    iDi[15:0] =16'h9073;     #13;    iRdy =1'b1;
4654
        #13;    iDi[15:0] =16'h9074;     #13;    iRdy =1'b0;
4655
        #13;    iDi[15:0] =16'h9075;     #13;    iRdy =1'b1;
4656
        #13;    iDi[15:0] =16'h9076;     #13;    iRdy =1'b0;
4657
        #13;    iDi[15:0] =16'h9077;     #13;    iRdy =1'b1;
4658
        #13;    iDi[15:0] =16'h9078;     #13;    iRdy =1'b0;
4659
        #13;    iDi[15:0] =16'h9079;     #13;    iRdy =1'b1;
4660
        #13;    iDi[15:0] =16'h907A;     #13;    iRdy =1'b0;
4661
        #13;    iDi[15:0] =16'h907B;     #13;    iRdy =1'b1;
4662
        #13;    iDi[15:0] =16'h907C;     #13;    iRdy =1'b0;
4663
        #13;    iDi[15:0] =16'h907D;     #13;    iRdy =1'b1;
4664
        #13;    iDi[15:0] =16'h907E;     #13;    iRdy =1'b0;
4665
        #13;    iDi[15:0] =16'h907F;     #13;    iRdy =1'b1;
4666
        #13;    iDi[15:0] =16'h9080;     #13;    iRdy =1'b0;
4667
        #13;    iDi[15:0] =16'h9081;     #13;    iRdy =1'b1;
4668
        #13;    iDi[15:0] =16'h9082;     #13;    iRdy =1'b0;
4669
        #13;    iDi[15:0] =16'h9083;     #13;    iRdy =1'b1;
4670
        #13;    iDi[15:0] =16'h9084;     #13;    iRdy =1'b0;
4671
        #13;    iDi[15:0] =16'h9085;     #13;    iRdy =1'b1;
4672
        #13;    iDi[15:0] =16'h9086;     #13;    iRdy =1'b0;
4673
        #13;    iDi[15:0] =16'h9087;     #13;    iRdy =1'b1;
4674
        #13;    iDi[15:0] =16'h9088;     #13;    iRdy =1'b0;
4675
        #13;    iDi[15:0] =16'h9089;     #13;    iRdy =1'b1;
4676
        #13;    iDi[15:0] =16'h908A;     #13;    iRdy =1'b0;
4677
        #13;    iDi[15:0] =16'h908B;     #13;    iRdy =1'b1;
4678
        #13;    iDi[15:0] =16'h908C;     #13;    iRdy =1'b0;
4679
        #13;    iDi[15:0] =16'h908D;     #13;    iRdy =1'b1;
4680
        #13;    iDi[15:0] =16'h908E;     #13;    iRdy =1'b0;
4681
        #13;    iDi[15:0] =16'h908F;     #13;    iRdy =1'b1;
4682
        #13;    iDi[15:0] =16'h9090;     #13;    iRdy =1'b0;
4683
        #13;    iDi[15:0] =16'h9091;     #13;    iRdy =1'b1;
4684
        #13;    iDi[15:0] =16'h9092;     #13;    iRdy =1'b0;
4685
        #13;    iDi[15:0] =16'h9093;     #13;    iRdy =1'b1;
4686
        #13;    iDi[15:0] =16'h9094;     #13;    iRdy =1'b0;
4687
        #13;    iDi[15:0] =16'h9095;     #13;    iRdy =1'b1;
4688
        #13;    iDi[15:0] =16'h9096;     #13;    iRdy =1'b0;
4689
        #13;    iDi[15:0] =16'h9097;     #13;    iRdy =1'b1;
4690
        #13;    iDi[15:0] =16'h9098;     #13;    iRdy =1'b0;
4691
        #13;    iDi[15:0] =16'h9099;     #13;    iRdy =1'b1;
4692
        #13;    iDi[15:0] =16'h909A;     #13;    iRdy =1'b0;
4693
        #13;    iDi[15:0] =16'h909B;     #13;    iRdy =1'b1;
4694
        #13;    iDi[15:0] =16'h909C;     #13;    iRdy =1'b0;
4695
        #13;    iDi[15:0] =16'h909D;     #13;    iRdy =1'b1;
4696
        #13;    iDi[15:0] =16'h909E;     #13;    iRdy =1'b0;
4697
        #13;    iDi[15:0] =16'h909F;     #13;    iRdy =1'b1;
4698
        #13;    iDi[15:0] =16'h90A0;     #13;    iRdy =1'b0;
4699
        #13;    iDi[15:0] =16'h90A1;     #13;    iRdy =1'b1;
4700
        #13;    iDi[15:0] =16'h90A2;     #13;    iRdy =1'b0;
4701
        #13;    iDi[15:0] =16'h90A3;     #13;    iRdy =1'b1;
4702
        #13;    iDi[15:0] =16'h90A4;     #13;    iRdy =1'b0;
4703
        #13;    iDi[15:0] =16'h90A5;     #13;    iRdy =1'b1;
4704
        #13;    iDi[15:0] =16'h90A6;     #13;    iRdy =1'b0;
4705
        #13;    iDi[15:0] =16'h90A7;     #13;    iRdy =1'b1;
4706
        #13;    iDi[15:0] =16'h90A8;     #13;    iRdy =1'b0;
4707
        #13;    iDi[15:0] =16'h90A9;     #13;    iRdy =1'b1;
4708
        #13;    iDi[15:0] =16'h90AA;     #13;    iRdy =1'b0;
4709
        #13;    iDi[15:0] =16'h90AB;     #13;    iRdy =1'b1;
4710
        #13;    iDi[15:0] =16'h90AC;     #13;    iRdy =1'b0;
4711
        #13;    iDi[15:0] =16'h90AD;     #13;    iRdy =1'b1;
4712
        #13;    iDi[15:0] =16'h90AE;     #13;    iRdy =1'b0;
4713
        #13;    iDi[15:0] =16'h90AF;     #13;    iRdy =1'b1;
4714
        #13;    iDi[15:0] =16'h90B0;     #13;    iRdy =1'b0;
4715
        #13;    iDi[15:0] =16'h90B1;     #13;    iRdy =1'b1;
4716
        #13;    iDi[15:0] =16'h90B2;     #13;    iRdy =1'b0;
4717
        #13;    iDi[15:0] =16'h90B3;     #13;    iRdy =1'b1;
4718
        #13;    iDi[15:0] =16'h90B4;     #13;    iRdy =1'b0;
4719
        #13;    iDi[15:0] =16'h90B5;     #13;    iRdy =1'b1;
4720
        #13;    iDi[15:0] =16'h90B6;     #13;    iRdy =1'b0;
4721
        #13;    iDi[15:0] =16'h90B7;     #13;    iRdy =1'b1;
4722
        #13;    iDi[15:0] =16'h90B8;     #13;    iRdy =1'b0;
4723
        #13;    iDi[15:0] =16'h90B9;     #13;    iRdy =1'b1;
4724
        #13;    iDi[15:0] =16'h90BA;     #13;    iRdy =1'b0;
4725
        #13;    iDi[15:0] =16'h90BB;     #13;    iRdy =1'b1;
4726
        #13;    iDi[15:0] =16'h90BC;     #13;    iRdy =1'b0;
4727
        #13;    iDi[15:0] =16'h90BD;     #13;    iRdy =1'b1;
4728
        #13;    iDi[15:0] =16'h90BE;     #13;    iRdy =1'b0;
4729
        #13;    iDi[15:0] =16'h90BF;     #13;    iRdy =1'b1;
4730
        #13;    iDi[15:0] =16'h90C0;     #13;    iRdy =1'b0;
4731
        #13;    iDi[15:0] =16'h90C1;     #13;    iRdy =1'b1;
4732
        #13;    iDi[15:0] =16'h90C2;     #13;    iRdy =1'b0;
4733
        #13;    iDi[15:0] =16'h90C3;     #13;    iRdy =1'b1;
4734
        #13;    iDi[15:0] =16'h90C4;     #13;    iRdy =1'b0;
4735
        #13;    iDi[15:0] =16'h90C5;     #13;    iRdy =1'b1;
4736
        #13;    iDi[15:0] =16'h90C6;     #13;    iRdy =1'b0;
4737
        #13;    iDi[15:0] =16'h90C7;     #13;    iRdy =1'b1;
4738
        #13;    iDi[15:0] =16'h90C8;     #13;    iRdy =1'b0;
4739
        #13;    iDi[15:0] =16'h90C9;     #13;    iRdy =1'b1;
4740
        #13;    iDi[15:0] =16'h90CA;     #13;    iRdy =1'b0;
4741
        #13;    iDi[15:0] =16'h90CB;     #13;    iRdy =1'b1;
4742
        #13;    iDi[15:0] =16'h90CC;     #13;    iRdy =1'b0;
4743
        #13;    iDi[15:0] =16'h90CD;     #13;    iRdy =1'b1;
4744
        #13;    iDi[15:0] =16'h90CE;     #13;    iRdy =1'b0;
4745
        #13;    iDi[15:0] =16'h90CF;     #13;    iRdy =1'b1;
4746
        #13;    iDi[15:0] =16'h90D0;     #13;    iRdy =1'b0;
4747
        #13;    iDi[15:0] =16'h90D1;     #13;    iRdy =1'b1;
4748
        #13;    iDi[15:0] =16'h90D2;     #13;    iRdy =1'b0;
4749
        #13;    iDi[15:0] =16'h90D3;     #13;    iRdy =1'b1;
4750
        #13;    iDi[15:0] =16'h90D4;     #13;    iRdy =1'b0;
4751
        #13;    iDi[15:0] =16'h90D5;     #13;    iRdy =1'b1;
4752
        #13;    iDi[15:0] =16'h90D6;     #13;    iRdy =1'b0;
4753
        #13;    iDi[15:0] =16'h90D7;     #13;    iRdy =1'b1;
4754
        #13;    iDi[15:0] =16'h90D8;     #13;    iRdy =1'b0;
4755
        #13;    iDi[15:0] =16'h90D9;     #13;    iRdy =1'b1;
4756
        #13;    iDi[15:0] =16'h90DA;     #13;    iRdy =1'b0;
4757
        #13;    iDi[15:0] =16'h90DB;     #13;    iRdy =1'b1;
4758
        #13;    iDi[15:0] =16'h90DC;     #13;    iRdy =1'b0;
4759
        #13;    iDi[15:0] =16'h90DD;     #13;    iRdy =1'b1;
4760
        #13;    iDi[15:0] =16'h90DE;     #13;    iRdy =1'b0;
4761
        #13;    iDi[15:0] =16'h90DF;     #13;    iRdy =1'b1;
4762
        #13;    iDi[15:0] =16'h90E0;     #13;    iRdy =1'b0;
4763
        #13;    iDi[15:0] =16'h90E1;     #13;    iRdy =1'b1;
4764
        #13;    iDi[15:0] =16'h90E2;     #13;    iRdy =1'b0;
4765
        #13;    iDi[15:0] =16'h90E3;     #13;    iRdy =1'b1;
4766
        #13;    iDi[15:0] =16'h90E4;     #13;    iRdy =1'b0;
4767
        #13;    iDi[15:0] =16'h90E5;     #13;    iRdy =1'b1;
4768
        #13;    iDi[15:0] =16'h90E6;     #13;    iRdy =1'b0;
4769
        #13;    iDi[15:0] =16'h90E7;     #13;    iRdy =1'b1;
4770
        #13;    iDi[15:0] =16'h90E8;     #13;    iRdy =1'b0;
4771
        #13;    iDi[15:0] =16'h90E9;     #13;    iRdy =1'b1;
4772
        #13;    iDi[15:0] =16'h90EA;     #13;    iRdy =1'b0;
4773
        #13;    iDi[15:0] =16'h90EB;     #13;    iRdy =1'b1;
4774
        #13;    iDi[15:0] =16'h90EC;     #13;    iRdy =1'b0;
4775
        #13;    iDi[15:0] =16'h90ED;     #13;    iRdy =1'b1;
4776
        #13;    iDi[15:0] =16'h90EE;     #13;    iRdy =1'b0;
4777
        #13;    iDi[15:0] =16'h90EF;     #13;    iRdy =1'b1;
4778
        #13;    iDi[15:0] =16'h90F0;     #13;    iRdy =1'b0;
4779
        #13;    iDi[15:0] =16'h90F1;     #13;    iRdy =1'b1;
4780
        #13;    iDi[15:0] =16'h90F2;     #13;    iRdy =1'b0;
4781
        #13;    iDi[15:0] =16'h90F3;     #13;    iRdy =1'b1;
4782
        #13;    iDi[15:0] =16'h90F4;     #13;    iRdy =1'b0;
4783
        #13;    iDi[15:0] =16'h90F5;     #13;    iRdy =1'b1;
4784
        #13;    iDi[15:0] =16'h90F6;     #13;    iRdy =1'b0;
4785
        #13;    iDi[15:0] =16'h90F7;     #13;    iRdy =1'b1;
4786
        #13;    iDi[15:0] =16'h90F8;     #13;    iRdy =1'b0;
4787
        #13;    iDi[15:0] =16'h90F9;     #13;    iRdy =1'b1;
4788
        #13;    iDi[15:0] =16'h90FA;     #13;    iRdy =1'b0;
4789
        #13;    iDi[15:0] =16'h90FB;     #13;    iRdy =1'b1;
4790
        #13;    iDi[15:0] =16'h90FC;     #13;    iRdy =1'b0;
4791
        #13;    iDi[15:0] =16'h90FD;     #13;    iRdy =1'b1;
4792
        #13;    iDi[15:0] =16'h90FE;     #13;    iRdy =1'b0;
4793
        #13;    iDi[15:0] =16'h90FF;     #13;    iRdy =1'b1;
4794
        #13;    iDi[15:0] =16'h9100;     #13;    iRdy =1'b0;
4795
        #13;    iDi[15:0] =16'h9101;     #13;    iRdy =1'b1;
4796
        #13;    iDi[15:0] =16'h9102;     #13;    iRdy =1'b0;
4797
        #13;    iDi[15:0] =16'h9103;     #13;    iRdy =1'b1;
4798
        #13;    iDi[15:0] =16'h9104;     #13;    iRdy =1'b0;
4799
        #13;    iDi[15:0] =16'h9105;     #13;    iRdy =1'b1;
4800
        #13;    iDi[15:0] =16'h9106;     #13;    iRdy =1'b0;
4801
        #13;    iDi[15:0] =16'h9107;     #13;    iRdy =1'b1;
4802
        #13;    iDi[15:0] =16'h9108;     #13;    iRdy =1'b0;
4803
        #13;    iDi[15:0] =16'h9109;     #13;    iRdy =1'b1;
4804
        #13;    iDi[15:0] =16'h910A;     #13;    iRdy =1'b0;
4805
        #13;    iDi[15:0] =16'h910B;     #13;    iRdy =1'b1;
4806
        #13;    iDi[15:0] =16'h910C;     #13;    iRdy =1'b0;
4807
        #13;    iDi[15:0] =16'h910D;     #13;    iRdy =1'b1;
4808
        #13;    iDi[15:0] =16'h910E;     #13;    iRdy =1'b0;
4809
        #13;    iDi[15:0] =16'h910F;     #13;    iRdy =1'b1;
4810
        #13;    iDi[15:0] =16'h9110;     #13;    iRdy =1'b0;
4811
        #13;    iDi[15:0] =16'h9111;     #13;    iRdy =1'b1;
4812
        #13;    iDi[15:0] =16'h9112;     #13;    iRdy =1'b0;
4813
        #13;    iDi[15:0] =16'h9113;     #13;    iRdy =1'b1;
4814
        #13;    iDi[15:0] =16'h9114;     #13;    iRdy =1'b0;
4815
        #13;    iDi[15:0] =16'h9115;     #13;    iRdy =1'b1;
4816
        #13;    iDi[15:0] =16'h9116;     #13;    iRdy =1'b0;
4817
        #13;    iDi[15:0] =16'h9117;     #13;    iRdy =1'b1;
4818
        #13;    iDi[15:0] =16'h9118;     #13;    iRdy =1'b0;
4819
        #13;    iDi[15:0] =16'h9119;     #13;    iRdy =1'b1;
4820
        #13;    iDi[15:0] =16'h911A;     #13;    iRdy =1'b0;
4821
        #13;    iDi[15:0] =16'h911B;     #13;    iRdy =1'b1;
4822
        #13;    iDi[15:0] =16'h911C;     #13;    iRdy =1'b0;
4823
        #13;    iDi[15:0] =16'h911D;     #13;    iRdy =1'b1;
4824
        #13;    iDi[15:0] =16'h911E;     #13;    iRdy =1'b0;
4825
        #13;    iDi[15:0] =16'h911F;     #13;    iRdy =1'b1;
4826
        #13;    iDi[15:0] =16'h9120;     #13;    iRdy =1'b0;
4827
        #13;    iDi[15:0] =16'h9121;     #13;    iRdy =1'b1;
4828
        #13;    iDi[15:0] =16'h9122;     #13;    iRdy =1'b0;
4829
        #13;    iDi[15:0] =16'h9123;     #13;    iRdy =1'b1;
4830
        #13;    iDi[15:0] =16'h9124;     #13;    iRdy =1'b0;
4831
        #13;    iDi[15:0] =16'h9125;     #13;    iRdy =1'b1;
4832
        #13;    iDi[15:0] =16'h9126;     #13;    iRdy =1'b0;
4833
        #13;    iDi[15:0] =16'h9127;     #13;    iRdy =1'b1;
4834
        #13;    iDi[15:0] =16'h9128;     #13;    iRdy =1'b0;
4835
        #13;    iDi[15:0] =16'h9129;     #13;    iRdy =1'b1;
4836
        #13;    iDi[15:0] =16'h912A;     #13;    iRdy =1'b0;
4837
        #13;    iDi[15:0] =16'h912B;     #13;    iRdy =1'b1;
4838
        #13;    iDi[15:0] =16'h912C;     #13;    iRdy =1'b0;
4839
        #13;    iDi[15:0] =16'h912D;     #13;    iRdy =1'b1;
4840
        #13;    iDi[15:0] =16'h912E;     #13;    iRdy =1'b0;
4841
        #13;    iDi[15:0] =16'h912F;     #13;    iRdy =1'b1;
4842
        #13;    iDi[15:0] =16'h9130;     #13;    iRdy =1'b0;
4843
        #13;    iDi[15:0] =16'h9131;     #13;    iRdy =1'b1;
4844
        #13;    iDi[15:0] =16'h9132;     #13;    iRdy =1'b0;
4845
        #13;    iDi[15:0] =16'h9133;     #13;    iRdy =1'b1;
4846
        #13;    iDi[15:0] =16'h9134;     #13;    iRdy =1'b0;
4847
        #13;    iDi[15:0] =16'h9135;     #13;    iRdy =1'b1;
4848
        #13;    iDi[15:0] =16'h9136;     #13;    iRdy =1'b0;
4849
        #13;    iDi[15:0] =16'h9137;     #13;    iRdy =1'b1;
4850
        #13;    iDi[15:0] =16'h9138;     #13;    iRdy =1'b0;
4851
        #13;    iDi[15:0] =16'h9139;     #13;    iRdy =1'b1;
4852
        #13;    iDi[15:0] =16'h913A;     #13;    iRdy =1'b0;
4853
        #13;    iDi[15:0] =16'h913B;     #13;    iRdy =1'b1;
4854
        #13;    iDi[15:0] =16'h913C;     #13;    iRdy =1'b0;
4855
        #13;    iDi[15:0] =16'h913D;     #13;    iRdy =1'b1;
4856
        #13;    iDi[15:0] =16'h913E;     #13;    iRdy =1'b0;
4857
        #13;    iDi[15:0] =16'h913F;     #13;    iRdy =1'b1;
4858
        #13;    iDi[15:0] =16'h9140;     #13;    iRdy =1'b0;
4859
        #13;    iDi[15:0] =16'h9141;     #13;    iRdy =1'b1;
4860
        #13;    iDi[15:0] =16'h9142;     #13;    iRdy =1'b0;
4861
        #13;    iDi[15:0] =16'h9143;     #13;    iRdy =1'b1;
4862
        #13;    iDi[15:0] =16'h9144;     #13;    iRdy =1'b0;
4863
        #13;    iDi[15:0] =16'h9145;     #13;    iRdy =1'b1;
4864
        #13;    iDi[15:0] =16'h9146;     #13;    iRdy =1'b0;
4865
        #13;    iDi[15:0] =16'h9147;     #13;    iRdy =1'b1;
4866
        #13;    iDi[15:0] =16'h9148;     #13;    iRdy =1'b0;
4867
        #13;    iDi[15:0] =16'h9149;     #13;    iRdy =1'b1;
4868
        #13;    iDi[15:0] =16'h914A;     #13;    iRdy =1'b0;
4869
        #13;    iDi[15:0] =16'h914B;     #13;    iRdy =1'b1;
4870
        #13;    iDi[15:0] =16'h914C;     #13;    iRdy =1'b0;
4871
        #13;    iDi[15:0] =16'h914D;     #13;    iRdy =1'b1;
4872
        #13;    iDi[15:0] =16'h914E;     #13;    iRdy =1'b0;
4873
        #13;    iDi[15:0] =16'h914F;     #13;    iRdy =1'b1;
4874
        #13;    iDi[15:0] =16'h9150;     #13;    iRdy =1'b0;
4875
        #13;    iDi[15:0] =16'h9151;     #13;    iRdy =1'b1;
4876
        #13;    iDi[15:0] =16'h9152;     #13;    iRdy =1'b0;
4877
        #13;    iDi[15:0] =16'h9153;     #13;    iRdy =1'b1;
4878
        #13;    iDi[15:0] =16'h9154;     #13;    iRdy =1'b0;
4879
        #13;    iDi[15:0] =16'h9155;     #13;    iRdy =1'b1;
4880
        #13;    iDi[15:0] =16'h9156;     #13;    iRdy =1'b0;
4881
        #13;    iDi[15:0] =16'h9157;     #13;    iRdy =1'b1;
4882
        #13;    iDi[15:0] =16'h9158;     #13;    iRdy =1'b0;
4883
        #13;    iDi[15:0] =16'h9159;     #13;    iRdy =1'b1;
4884
        #13;    iDi[15:0] =16'h915A;     #13;    iRdy =1'b0;
4885
        #13;    iDi[15:0] =16'h915B;     #13;    iRdy =1'b1;
4886
        #13;    iDi[15:0] =16'h915C;     #13;    iRdy =1'b0;
4887
        #13;    iDi[15:0] =16'h915D;     #13;    iRdy =1'b1;
4888
        #13;    iDi[15:0] =16'h915E;     #13;    iRdy =1'b0;
4889
        #13;    iDi[15:0] =16'h915F;     #13;    iRdy =1'b1;
4890
        #13;    iDi[15:0] =16'h9160;     #13;    iRdy =1'b0;
4891
        #13;    iDi[15:0] =16'h9161;     #13;    iRdy =1'b1;
4892
        #13;    iDi[15:0] =16'h9162;     #13;    iRdy =1'b0;
4893
        #13;    iDi[15:0] =16'h9163;     #13;    iRdy =1'b1;
4894
        #13;    iDi[15:0] =16'h9164;     #13;    iRdy =1'b0;
4895
        #13;    iDi[15:0] =16'h9165;     #13;    iRdy =1'b1;
4896
        #13;    iDi[15:0] =16'h9166;     #13;    iRdy =1'b0;
4897
        #13;    iDi[15:0] =16'h9167;     #13;    iRdy =1'b1;
4898
        #13;    iDi[15:0] =16'h9168;     #13;    iRdy =1'b0;
4899
        #13;    iDi[15:0] =16'h9169;     #13;    iRdy =1'b1;
4900
        #13;    iDi[15:0] =16'h916A;     #13;    iRdy =1'b0;
4901
        #13;    iDi[15:0] =16'h916B;     #13;    iRdy =1'b1;
4902
        #13;    iDi[15:0] =16'h916C;     #13;    iRdy =1'b0;
4903
        #13;    iDi[15:0] =16'h916D;     #13;    iRdy =1'b1;
4904
        #13;    iDi[15:0] =16'h916E;     #13;    iRdy =1'b0;
4905
        #13;    iDi[15:0] =16'h916F;     #13;    iRdy =1'b1;
4906
        #13;    iDi[15:0] =16'h9170;     #13;    iRdy =1'b0;
4907
        #13;    iDi[15:0] =16'h9171;     #13;    iRdy =1'b1;
4908
        #13;    iDi[15:0] =16'h9172;     #13;    iRdy =1'b0;
4909
        #13;    iDi[15:0] =16'h9173;     #13;    iRdy =1'b1;
4910
        #13;    iDi[15:0] =16'h9174;     #13;    iRdy =1'b0;
4911
        #13;    iDi[15:0] =16'h9175;     #13;    iRdy =1'b1;
4912
        #13;    iDi[15:0] =16'h9176;     #13;    iRdy =1'b0;
4913
        #13;    iDi[15:0] =16'h9177;     #13;    iRdy =1'b1;
4914
        #13;    iDi[15:0] =16'h9178;     #13;    iRdy =1'b0;
4915
        #13;    iDi[15:0] =16'h9179;     #13;    iRdy =1'b1;
4916
        #13;    iDi[15:0] =16'h917A;     #13;    iRdy =1'b0;
4917
        #13;    iDi[15:0] =16'h917B;     #13;    iRdy =1'b1;
4918
        #13;    iDi[15:0] =16'h917C;     #13;    iRdy =1'b0;
4919
        #13;    iDi[15:0] =16'h917D;     #13;    iRdy =1'b1;
4920
        #13;    iDi[15:0] =16'h917E;     #13;    iRdy =1'b0;
4921
        #13;    iDi[15:0] =16'h917F;     #13;    iRdy =1'b1;
4922
        #13;    iDi[15:0] =16'h9180;     #13;    iRdy =1'b0;
4923
        #13;    iDi[15:0] =16'h9181;     #13;    iRdy =1'b1;
4924
        #13;    iDi[15:0] =16'h9182;     #13;    iRdy =1'b0;
4925
        #13;    iDi[15:0] =16'h9183;     #13;    iRdy =1'b1;
4926
        #13;    iDi[15:0] =16'h9184;     #13;    iRdy =1'b0;
4927
        #13;    iDi[15:0] =16'h9185;     #13;    iRdy =1'b1;
4928
        #13;    iDi[15:0] =16'h9186;     #13;    iRdy =1'b0;
4929
        #13;    iDi[15:0] =16'h9187;     #13;    iRdy =1'b1;
4930
        #13;    iDi[15:0] =16'h9188;     #13;    iRdy =1'b0;
4931
        #13;    iDi[15:0] =16'h9189;     #13;    iRdy =1'b1;
4932
        #13;    iDi[15:0] =16'h918A;     #13;    iRdy =1'b0;
4933
        #13;    iDi[15:0] =16'h918B;     #13;    iRdy =1'b1;
4934
        #13;    iDi[15:0] =16'h918C;     #13;    iRdy =1'b0;
4935
        #13;    iDi[15:0] =16'h918D;     #13;    iRdy =1'b1;
4936
        #13;    iDi[15:0] =16'h918E;     #13;    iRdy =1'b0;
4937
        #13;    iDi[15:0] =16'h918F;     #13;    iRdy =1'b1;
4938
        #13;    iDi[15:0] =16'h9190;     #13;    iRdy =1'b0;
4939
        #13;    iDi[15:0] =16'h9191;     #13;    iRdy =1'b1;
4940
        #13;    iDi[15:0] =16'h9192;     #13;    iRdy =1'b0;
4941
        #13;    iDi[15:0] =16'h9193;     #13;    iRdy =1'b1;
4942
        #13;    iDi[15:0] =16'h9194;     #13;    iRdy =1'b0;
4943
        #13;    iDi[15:0] =16'h9195;     #13;    iRdy =1'b1;
4944
        #13;    iDi[15:0] =16'h9196;     #13;    iRdy =1'b0;
4945
        #13;    iDi[15:0] =16'h9197;     #13;    iRdy =1'b1;
4946
        #13;    iDi[15:0] =16'h9198;     #13;    iRdy =1'b0;
4947
        #13;    iDi[15:0] =16'h9199;     #13;    iRdy =1'b1;
4948
        #13;    iDi[15:0] =16'h919A;     #13;    iRdy =1'b0;
4949
        #13;    iDi[15:0] =16'h919B;     #13;    iRdy =1'b1;
4950
        #13;    iDi[15:0] =16'h919C;     #13;    iRdy =1'b0;
4951
        #13;    iDi[15:0] =16'h919D;     #13;    iRdy =1'b1;
4952
        #13;    iDi[15:0] =16'h919E;     #13;    iRdy =1'b0;
4953
        #13;    iDi[15:0] =16'h919F;     #13;    iRdy =1'b1;
4954
        #13;    iDi[15:0] =16'h91A0;     #13;    iRdy =1'b0;
4955
        #13;    iDi[15:0] =16'h91A1;     #13;    iRdy =1'b1;
4956
        #13;    iDi[15:0] =16'h91A2;     #13;    iRdy =1'b0;
4957
        #13;    iDi[15:0] =16'h91A3;     #13;    iRdy =1'b1;
4958
        #13;    iDi[15:0] =16'h91A4;     #13;    iRdy =1'b0;
4959
        #13;    iDi[15:0] =16'h91A5;     #13;    iRdy =1'b1;
4960
        #13;    iDi[15:0] =16'h91A6;     #13;    iRdy =1'b0;
4961
        #13;    iDi[15:0] =16'h91A7;     #13;    iRdy =1'b1;
4962
        #13;    iDi[15:0] =16'h91A8;     #13;    iRdy =1'b0;
4963
        #13;    iDi[15:0] =16'h91A9;     #13;    iRdy =1'b1;
4964
        #13;    iDi[15:0] =16'h91AA;     #13;    iRdy =1'b0;
4965
        #13;    iDi[15:0] =16'h91AB;     #13;    iRdy =1'b1;
4966
        #13;    iDi[15:0] =16'h91AC;     #13;    iRdy =1'b0;
4967
        #13;    iDi[15:0] =16'h91AD;     #13;    iRdy =1'b1;
4968
        #13;    iDi[15:0] =16'h91AE;     #13;    iRdy =1'b0;
4969
        #13;    iDi[15:0] =16'h91AF;     #13;    iRdy =1'b1;
4970
        #13;    iDi[15:0] =16'h91B0;     #13;    iRdy =1'b0;
4971
        #13;    iDi[15:0] =16'h91B1;     #13;    iRdy =1'b1;
4972
        #13;    iDi[15:0] =16'h91B2;     #13;    iRdy =1'b0;
4973
        #13;    iDi[15:0] =16'h91B3;     #13;    iRdy =1'b1;
4974
        #13;    iDi[15:0] =16'h91B4;     #13;    iRdy =1'b0;
4975
        #13;    iDi[15:0] =16'h91B5;     #13;    iRdy =1'b1;
4976
        #13;    iDi[15:0] =16'h91B6;     #13;    iRdy =1'b0;
4977
        #13;    iDi[15:0] =16'h91B7;     #13;    iRdy =1'b1;
4978
        #13;    iDi[15:0] =16'h91B8;     #13;    iRdy =1'b0;
4979
        #13;    iDi[15:0] =16'h91B9;     #13;    iRdy =1'b1;
4980
        #13;    iDi[15:0] =16'h91BA;     #13;    iRdy =1'b0;
4981
        #13;    iDi[15:0] =16'h91BB;     #13;    iRdy =1'b1;
4982
        #13;    iDi[15:0] =16'h91BC;     #13;    iRdy =1'b0;
4983
        #13;    iDi[15:0] =16'h91BD;     #13;    iRdy =1'b1;
4984
        #13;    iDi[15:0] =16'h91BE;     #13;    iRdy =1'b0;
4985
        #13;    iDi[15:0] =16'h91BF;     #13;    iRdy =1'b1;
4986
        #13;    iDi[15:0] =16'h91C0;     #13;    iRdy =1'b0;
4987
        #13;    iDi[15:0] =16'h91C1;     #13;    iRdy =1'b1;
4988
        #13;    iDi[15:0] =16'h91C2;     #13;    iRdy =1'b0;
4989
        #13;    iDi[15:0] =16'h91C3;     #13;    iRdy =1'b1;
4990
        #13;    iDi[15:0] =16'h91C4;     #13;    iRdy =1'b0;
4991
        #13;    iDi[15:0] =16'h91C5;     #13;    iRdy =1'b1;
4992
        #13;    iDi[15:0] =16'h91C6;     #13;    iRdy =1'b0;
4993
        #13;    iDi[15:0] =16'h91C7;     #13;    iRdy =1'b1;
4994
        #13;    iDi[15:0] =16'h91C8;     #13;    iRdy =1'b0;
4995
        #13;    iDi[15:0] =16'h91C9;     #13;    iRdy =1'b1;
4996
        #13;    iDi[15:0] =16'h91CA;     #13;    iRdy =1'b0;
4997
        #13;    iDi[15:0] =16'h91CB;     #13;    iRdy =1'b1;
4998
        #13;    iDi[15:0] =16'h91CC;     #13;    iRdy =1'b0;
4999
        #13;    iDi[15:0] =16'h91CD;     #13;    iRdy =1'b1;
5000
        #13;    iDi[15:0] =16'h91CE;     #13;    iRdy =1'b0;
5001
        #13;    iDi[15:0] =16'h91CF;     #13;    iRdy =1'b1;
5002
        #13;    iDi[15:0] =16'h91D0;     #13;    iRdy =1'b0;
5003
        #13;    iDi[15:0] =16'h91D1;     #13;    iRdy =1'b1;
5004
        #13;    iDi[15:0] =16'h91D2;     #13;    iRdy =1'b0;
5005
        #13;    iDi[15:0] =16'h91D3;     #13;    iRdy =1'b1;
5006
        #13;    iDi[15:0] =16'h91D4;     #13;    iRdy =1'b0;
5007
        #13;    iDi[15:0] =16'h91D5;     #13;    iRdy =1'b1;
5008
        #13;    iDi[15:0] =16'h91D6;     #13;    iRdy =1'b0;
5009
        #13;    iDi[15:0] =16'h91D7;     #13;    iRdy =1'b1;
5010
        #13;    iDi[15:0] =16'h91D8;     #13;    iRdy =1'b0;
5011
        #13;    iDi[15:0] =16'h91D9;     #13;    iRdy =1'b1;
5012
        #13;    iDi[15:0] =16'h91DA;     #13;    iRdy =1'b0;
5013
        #13;    iDi[15:0] =16'h91DB;     #13;    iRdy =1'b1;
5014
        #13;    iDi[15:0] =16'h91DC;     #13;    iRdy =1'b0;
5015
        #13;    iDi[15:0] =16'h91DD;     #13;    iRdy =1'b1;
5016
        #13;    iDi[15:0] =16'h91DE;     #13;    iRdy =1'b0;
5017
        #13;    iDi[15:0] =16'h91DF;     #13;    iRdy =1'b1;
5018
        #13;    iDi[15:0] =16'h91E0;     #13;    iRdy =1'b0;
5019
        #13;    iDi[15:0] =16'h91E1;     #13;    iRdy =1'b1;
5020
        #13;    iDi[15:0] =16'h91E2;     #13;    iRdy =1'b0;
5021
        #13;    iDi[15:0] =16'h91E3;     #13;    iRdy =1'b1;
5022
        #13;    iDi[15:0] =16'h91E4;     #13;    iRdy =1'b0;
5023
        #13;    iDi[15:0] =16'h91E5;     #13;    iRdy =1'b1;
5024
        #13;    iDi[15:0] =16'h91E6;     #13;    iRdy =1'b0;
5025
        #13;    iDi[15:0] =16'h91E7;     #13;    iRdy =1'b1;
5026
        #13;    iDi[15:0] =16'h91E8;     #13;    iRdy =1'b0;
5027
        #13;    iDi[15:0] =16'h91E9;     #13;    iRdy =1'b1;
5028
        #13;    iDi[15:0] =16'h91EA;     #13;    iRdy =1'b0;
5029
        #13;    iDi[15:0] =16'h91EB;     #13;    iRdy =1'b1;
5030
        #13;    iDi[15:0] =16'h91EC;     #13;    iRdy =1'b0;
5031
        #13;    iDi[15:0] =16'h91ED;     #13;    iRdy =1'b1;
5032
        #13;    iDi[15:0] =16'h91EE;     #13;    iRdy =1'b0;
5033
        #13;    iDi[15:0] =16'h91EF;     #13;    iRdy =1'b1;
5034
        #13;    iDi[15:0] =16'h91F0;     #13;    iRdy =1'b0;
5035
        #13;    iDi[15:0] =16'h91F1;     #13;    iRdy =1'b1;
5036
        #13;    iDi[15:0] =16'h91F2;     #13;    iRdy =1'b0;
5037
        #13;    iDi[15:0] =16'h91F3;     #13;    iRdy =1'b1;
5038
        #13;    iDi[15:0] =16'h91F4;     #13;    iRdy =1'b0;
5039
        #13;    iDi[15:0] =16'h91F5;     #13;    iRdy =1'b1;
5040
        #13;    iDi[15:0] =16'h91F6;     #13;    iRdy =1'b0;
5041
        #13;    iDi[15:0] =16'h91F7;     #13;    iRdy =1'b1;
5042
        #13;    iDi[15:0] =16'h91F8;     #13;    iRdy =1'b0;
5043
        #13;    iDi[15:0] =16'h91F9;     #13;    iRdy =1'b1;
5044
        #13;    iDi[15:0] =16'h91FA;     #13;    iRdy =1'b0;
5045
        #13;    iDi[15:0] =16'h91FB;     #13;    iRdy =1'b1;
5046
        #13;    iDi[15:0] =16'h91FC;     #13;    iRdy =1'b0;
5047
        #13;    iDi[15:0] =16'h91FD;     #13;    iRdy =1'b1;
5048
        #13;    iDi[15:0] =16'h91FE;     #13;    iRdy =1'b0;
5049
        #13;    iDi[15:0] =16'h91FF;     #13;    iRdy =1'b1;
5050
        #13;    iDi[15:0] =16'h9200;     #13;    iRdy =1'b0;
5051
        #13;    iDi[15:0] =16'h9201;     #13;    iRdy =1'b1;
5052
        #13;    iDi[15:0] =16'h9202;     #13;    iRdy =1'b0;
5053
        #13;    iDi[15:0] =16'h9203;     #13;    iRdy =1'b1;
5054
        #13;    iDi[15:0] =16'h9204;     #13;    iRdy =1'b0;
5055
        #13;    iDi[15:0] =16'h9205;     #13;    iRdy =1'b1;
5056
        #13;    iDi[15:0] =16'h9206;     #13;    iRdy =1'b0;
5057
        #13;    iDi[15:0] =16'h9207;     #13;    iRdy =1'b1;
5058
        #13;    iDi[15:0] =16'h9208;     #13;    iRdy =1'b0;
5059
        #13;    iDi[15:0] =16'h9209;     #13;    iRdy =1'b1;
5060
        #13;    iDi[15:0] =16'h920A;     #13;    iRdy =1'b0;
5061
        #13;    iDi[15:0] =16'h920B;     #13;    iRdy =1'b1;
5062
        #13;    iDi[15:0] =16'h920C;     #13;    iRdy =1'b0;
5063
        #13;    iDi[15:0] =16'h920D;     #13;    iRdy =1'b1;
5064
        #13;    iDi[15:0] =16'h920E;     #13;    iRdy =1'b0;
5065
        #13;    iDi[15:0] =16'h920F;     #13;    iRdy =1'b1;
5066
        #13;    iDi[15:0] =16'h9210;     #13;    iRdy =1'b0;
5067
        #13;    iDi[15:0] =16'h9211;     #13;    iRdy =1'b1;
5068
        #13;    iDi[15:0] =16'h9212;     #13;    iRdy =1'b0;
5069
        #13;    iDi[15:0] =16'h9213;     #13;    iRdy =1'b1;
5070
        #13;    iDi[15:0] =16'h9214;     #13;    iRdy =1'b0;
5071
        #13;    iDi[15:0] =16'h9215;     #13;    iRdy =1'b1;
5072
        #13;    iDi[15:0] =16'h9216;     #13;    iRdy =1'b0;
5073
        #13;    iDi[15:0] =16'h9217;     #13;    iRdy =1'b1;
5074
        #13;    iDi[15:0] =16'h9218;     #13;    iRdy =1'b0;
5075
        #13;    iDi[15:0] =16'h9219;     #13;    iRdy =1'b1;
5076
        #13;    iDi[15:0] =16'h921A;     #13;    iRdy =1'b0;
5077
        #13;    iDi[15:0] =16'h921B;     #13;    iRdy =1'b1;
5078
        #13;    iDi[15:0] =16'h921C;     #13;    iRdy =1'b0;
5079
        #13;    iDi[15:0] =16'h921D;     #13;    iRdy =1'b1;
5080
        #13;    iDi[15:0] =16'h921E;     #13;    iRdy =1'b0;
5081
        #13;    iDi[15:0] =16'h921F;     #13;    iRdy =1'b1;
5082
        #13;    iDi[15:0] =16'h9220;     #13;    iRdy =1'b0;
5083
        #13;    iDi[15:0] =16'h9221;     #13;    iRdy =1'b1;
5084
        #13;    iDi[15:0] =16'h9222;     #13;    iRdy =1'b0;
5085
        #13;    iDi[15:0] =16'h9223;     #13;    iRdy =1'b1;
5086
        #13;    iDi[15:0] =16'h9224;     #13;    iRdy =1'b0;
5087
        #13;    iDi[15:0] =16'h9225;     #13;    iRdy =1'b1;
5088
        #13;    iDi[15:0] =16'h9226;     #13;    iRdy =1'b0;
5089
        #13;    iDi[15:0] =16'h9227;     #13;    iRdy =1'b1;
5090
        #13;    iDi[15:0] =16'h9228;     #13;    iRdy =1'b0;
5091
        #13;    iDi[15:0] =16'h9229;     #13;    iRdy =1'b1;
5092
        #13;    iDi[15:0] =16'h922A;     #13;    iRdy =1'b0;
5093
        #13;    iDi[15:0] =16'h922B;     #13;    iRdy =1'b1;
5094
        #13;    iDi[15:0] =16'h922C;     #13;    iRdy =1'b0;
5095
        #13;    iDi[15:0] =16'h922D;     #13;    iRdy =1'b1;
5096
        #13;    iDi[15:0] =16'h922E;     #13;    iRdy =1'b0;
5097
        #13;    iDi[15:0] =16'h922F;     #13;    iRdy =1'b1;
5098
        #13;    iDi[15:0] =16'h9230;     #13;    iRdy =1'b0;
5099
        #13;    iDi[15:0] =16'h9231;     #13;    iRdy =1'b1;
5100
        #13;    iDi[15:0] =16'h9232;     #13;    iRdy =1'b0;
5101
        #13;    iDi[15:0] =16'h9233;     #13;    iRdy =1'b1;
5102
        #13;    iDi[15:0] =16'h9234;     #13;    iRdy =1'b0;
5103
        #13;    iDi[15:0] =16'h9235;     #13;    iRdy =1'b1;
5104
        #13;    iDi[15:0] =16'h9236;     #13;    iRdy =1'b0;
5105
        #13;    iDi[15:0] =16'h9237;     #13;    iRdy =1'b1;
5106
        #13;    iDi[15:0] =16'h9238;     #13;    iRdy =1'b0;
5107
        #13;    iDi[15:0] =16'h9239;     #13;    iRdy =1'b1;
5108
        #13;    iDi[15:0] =16'h923A;     #13;    iRdy =1'b0;
5109
        #13;    iDi[15:0] =16'h923B;     #13;    iRdy =1'b1;
5110
        #13;    iDi[15:0] =16'h923C;     #13;    iRdy =1'b0;
5111
        #13;    iDi[15:0] =16'h923D;     #13;    iRdy =1'b1;
5112
        #13;    iDi[15:0] =16'h923E;     #13;    iRdy =1'b0;
5113
        #13;    iDi[15:0] =16'h923F;     #13;    iRdy =1'b1;
5114
        #13;    iDi[15:0] =16'h9240;     #13;    iRdy =1'b0;
5115
        #13;    iDi[15:0] =16'h9241;     #13;    iRdy =1'b1;
5116
        #13;    iDi[15:0] =16'h9242;     #13;    iRdy =1'b0;
5117
        #13;    iDi[15:0] =16'h9243;     #13;    iRdy =1'b1;
5118
        #13;    iDi[15:0] =16'h9244;     #13;    iRdy =1'b0;
5119
        #13;    iDi[15:0] =16'h9245;     #13;    iRdy =1'b1;
5120
        #13;    iDi[15:0] =16'h9246;     #13;    iRdy =1'b0;
5121
        #13;    iDi[15:0] =16'h9247;     #13;    iRdy =1'b1;
5122
        #13;    iDi[15:0] =16'h9248;     #13;    iRdy =1'b0;
5123
        #13;    iDi[15:0] =16'h9249;     #13;    iRdy =1'b1;
5124
        #13;    iDi[15:0] =16'h924A;     #13;    iRdy =1'b0;
5125
        #13;    iDi[15:0] =16'h924B;     #13;    iRdy =1'b1;
5126
        #13;    iDi[15:0] =16'h924C;     #13;    iRdy =1'b0;
5127
        #13;    iDi[15:0] =16'h924D;     #13;    iRdy =1'b1;
5128
        #13;    iDi[15:0] =16'h924E;     #13;    iRdy =1'b0;
5129
        #13;    iDi[15:0] =16'h924F;     #13;    iRdy =1'b1;
5130
        #13;    iDi[15:0] =16'h9250;     #13;    iRdy =1'b0;
5131
        #13;    iDi[15:0] =16'h9251;     #13;    iRdy =1'b1;
5132
        #13;    iDi[15:0] =16'h9252;     #13;    iRdy =1'b0;
5133
        #13;    iDi[15:0] =16'h9253;     #13;    iRdy =1'b1;
5134
        #13;    iDi[15:0] =16'h9254;     #13;    iRdy =1'b0;
5135
        #13;    iDi[15:0] =16'h9255;     #13;    iRdy =1'b1;
5136
        #13;    iDi[15:0] =16'h9256;     #13;    iRdy =1'b0;
5137
        #13;    iDi[15:0] =16'h9257;     #13;    iRdy =1'b1;
5138
        #13;    iDi[15:0] =16'h9258;     #13;    iRdy =1'b0;
5139
        #13;    iDi[15:0] =16'h9259;     #13;    iRdy =1'b1;
5140
        #13;    iDi[15:0] =16'h925A;     #13;    iRdy =1'b0;
5141
        #13;    iDi[15:0] =16'h925B;     #13;    iRdy =1'b1;
5142
        #13;    iDi[15:0] =16'h925C;     #13;    iRdy =1'b0;
5143
        #13;    iDi[15:0] =16'h925D;     #13;    iRdy =1'b1;
5144
        #13;    iDi[15:0] =16'h925E;     #13;    iRdy =1'b0;
5145
        #13;    iDi[15:0] =16'h925F;     #13;    iRdy =1'b1;
5146
        #13;    iDi[15:0] =16'h9260;     #13;    iRdy =1'b0;
5147
        #13;    iDi[15:0] =16'h9261;     #13;    iRdy =1'b1;
5148
        #13;    iDi[15:0] =16'h9262;     #13;    iRdy =1'b0;
5149
        #13;    iDi[15:0] =16'h9263;     #13;    iRdy =1'b1;
5150
        #13;    iDi[15:0] =16'h9264;     #13;    iRdy =1'b0;
5151
        #13;    iDi[15:0] =16'h9265;     #13;    iRdy =1'b1;
5152
        #13;    iDi[15:0] =16'h9266;     #13;    iRdy =1'b0;
5153
        #13;    iDi[15:0] =16'h9267;     #13;    iRdy =1'b1;
5154
        #13;    iDi[15:0] =16'h9268;     #13;    iRdy =1'b0;
5155
        #13;    iDi[15:0] =16'h9269;     #13;    iRdy =1'b1;
5156
        #13;    iDi[15:0] =16'h926A;     #13;    iRdy =1'b0;
5157
        #13;    iDi[15:0] =16'h926B;     #13;    iRdy =1'b1;
5158
        #13;    iDi[15:0] =16'h926C;     #13;    iRdy =1'b0;
5159
        #13;    iDi[15:0] =16'h926D;     #13;    iRdy =1'b1;
5160
        #13;    iDi[15:0] =16'h926E;     #13;    iRdy =1'b0;
5161
        #13;    iDi[15:0] =16'h926F;     #13;    iRdy =1'b1;
5162
        #13;    iDi[15:0] =16'h9270;     #13;    iRdy =1'b0;
5163
        #13;    iDi[15:0] =16'h9271;     #13;    iRdy =1'b1;
5164
        #13;    iDi[15:0] =16'h9272;     #13;    iRdy =1'b0;
5165
        #13;    iDi[15:0] =16'h9273;     #13;    iRdy =1'b1;
5166
        #13;    iDi[15:0] =16'h9274;     #13;    iRdy =1'b0;
5167
        #13;    iDi[15:0] =16'h9275;     #13;    iRdy =1'b1;
5168
        #13;    iDi[15:0] =16'h9276;     #13;    iRdy =1'b0;
5169
        #13;    iDi[15:0] =16'h9277;     #13;    iRdy =1'b1;
5170
        #13;    iDi[15:0] =16'h9278;     #13;    iRdy =1'b0;
5171
        #13;    iDi[15:0] =16'h9279;     #13;    iRdy =1'b1;
5172
        #13;    iDi[15:0] =16'h927A;     #13;    iRdy =1'b0;
5173
        #13;    iDi[15:0] =16'h927B;     #13;    iRdy =1'b1;
5174
        #13;    iDi[15:0] =16'h927C;     #13;    iRdy =1'b0;
5175
        #13;    iDi[15:0] =16'h927D;     #13;    iRdy =1'b1;
5176
        #13;    iDi[15:0] =16'h927E;     #13;    iRdy =1'b0;
5177
        #13;    iDi[15:0] =16'h927F;     #13;    iRdy =1'b1;
5178
        #13;    iDi[15:0] =16'h9280;     #13;    iRdy =1'b0;
5179
        #13;    iDi[15:0] =16'h9281;     #13;    iRdy =1'b1;
5180
        #13;    iDi[15:0] =16'h9282;     #13;    iRdy =1'b0;
5181
        #13;    iDi[15:0] =16'h9283;     #13;    iRdy =1'b1;
5182
        #13;    iDi[15:0] =16'h9284;     #13;    iRdy =1'b0;
5183
        #13;    iDi[15:0] =16'h9285;     #13;    iRdy =1'b1;
5184
        #13;    iDi[15:0] =16'h9286;     #13;    iRdy =1'b0;
5185
        #13;    iDi[15:0] =16'h9287;     #13;    iRdy =1'b1;
5186
        #13;    iDi[15:0] =16'h9288;     #13;    iRdy =1'b0;
5187
        #13;    iDi[15:0] =16'h9289;     #13;    iRdy =1'b1;
5188
        #13;    iDi[15:0] =16'h928A;     #13;    iRdy =1'b0;
5189
        #13;    iDi[15:0] =16'h928B;     #13;    iRdy =1'b1;
5190
        #13;    iDi[15:0] =16'h928C;     #13;    iRdy =1'b0;
5191
        #13;    iDi[15:0] =16'h928D;     #13;    iRdy =1'b1;
5192
        #13;    iDi[15:0] =16'h928E;     #13;    iRdy =1'b0;
5193
        #13;    iDi[15:0] =16'h928F;     #13;    iRdy =1'b1;
5194
        #13;    iDi[15:0] =16'h9290;     #13;    iRdy =1'b0;
5195
        #13;    iDi[15:0] =16'h9291;     #13;    iRdy =1'b1;
5196
        #13;    iDi[15:0] =16'h9292;     #13;    iRdy =1'b0;
5197
        #13;    iDi[15:0] =16'h9293;     #13;    iRdy =1'b1;
5198
        #13;    iDi[15:0] =16'h9294;     #13;    iRdy =1'b0;
5199
        #13;    iDi[15:0] =16'h9295;     #13;    iRdy =1'b1;
5200
        #13;    iDi[15:0] =16'h9296;     #13;    iRdy =1'b0;
5201
        #13;    iDi[15:0] =16'h9297;     #13;    iRdy =1'b1;
5202
        #13;    iDi[15:0] =16'h9298;     #13;    iRdy =1'b0;
5203
        #13;    iDi[15:0] =16'h9299;     #13;    iRdy =1'b1;
5204
        #13;    iDi[15:0] =16'h929A;     #13;    iRdy =1'b0;
5205
        #13;    iDi[15:0] =16'h929B;     #13;    iRdy =1'b1;
5206
        #13;    iDi[15:0] =16'h929C;     #13;    iRdy =1'b0;
5207
        #13;    iDi[15:0] =16'h929D;     #13;    iRdy =1'b1;
5208
        #13;    iDi[15:0] =16'h929E;     #13;    iRdy =1'b0;
5209
        #13;    iDi[15:0] =16'h929F;     #13;    iRdy =1'b1;
5210
        #13;    iDi[15:0] =16'h92A0;     #13;    iRdy =1'b0;
5211
        #13;    iDi[15:0] =16'h92A1;     #13;    iRdy =1'b1;
5212
        #13;    iDi[15:0] =16'h92A2;     #13;    iRdy =1'b0;
5213
        #13;    iDi[15:0] =16'h92A3;     #13;    iRdy =1'b1;
5214
        #13;    iDi[15:0] =16'h92A4;     #13;    iRdy =1'b0;
5215
        #13;    iDi[15:0] =16'h92A5;     #13;    iRdy =1'b1;
5216
        #13;    iDi[15:0] =16'h92A6;     #13;    iRdy =1'b0;
5217
        #13;    iDi[15:0] =16'h92A7;     #13;    iRdy =1'b1;
5218
        #13;    iDi[15:0] =16'h92A8;     #13;    iRdy =1'b0;
5219
        #13;    iDi[15:0] =16'h92A9;     #13;    iRdy =1'b1;
5220
        #13;    iDi[15:0] =16'h92AA;     #13;    iRdy =1'b0;
5221
        #13;    iDi[15:0] =16'h92AB;     #13;    iRdy =1'b1;
5222
        #13;    iDi[15:0] =16'h92AC;     #13;    iRdy =1'b0;
5223
        #13;    iDi[15:0] =16'h92AD;     #13;    iRdy =1'b1;
5224
        #13;    iDi[15:0] =16'h92AE;     #13;    iRdy =1'b0;
5225
        #13;    iDi[15:0] =16'h92AF;     #13;    iRdy =1'b1;
5226
        #13;    iDi[15:0] =16'h92B0;     #13;    iRdy =1'b0;
5227
        #13;    iDi[15:0] =16'h92B1;     #13;    iRdy =1'b1;
5228
        #13;    iDi[15:0] =16'h92B2;     #13;    iRdy =1'b0;
5229
        #13;    iDi[15:0] =16'h92B3;     #13;    iRdy =1'b1;
5230
        #13;    iDi[15:0] =16'h92B4;     #13;    iRdy =1'b0;
5231
        #13;    iDi[15:0] =16'h92B5;     #13;    iRdy =1'b1;
5232
        #13;    iDi[15:0] =16'h92B6;     #13;    iRdy =1'b0;
5233
        #13;    iDi[15:0] =16'h92B7;     #13;    iRdy =1'b1;
5234
        #13;    iDi[15:0] =16'h92B8;     #13;    iRdy =1'b0;
5235
        #13;    iDi[15:0] =16'h92B9;     #13;    iRdy =1'b1;
5236
        #13;    iDi[15:0] =16'h92BA;     #13;    iRdy =1'b0;
5237
        #13;    iDi[15:0] =16'h92BB;     #13;    iRdy =1'b1;
5238
        #13;    iDi[15:0] =16'h92BC;     #13;    iRdy =1'b0;
5239
        #13;    iDi[15:0] =16'h92BD;     #13;    iRdy =1'b1;
5240
        #13;    iDi[15:0] =16'h92BE;     #13;    iRdy =1'b0;
5241
        #13;    iDi[15:0] =16'h92BF;     #13;    iRdy =1'b1;
5242
        #13;    iDi[15:0] =16'h92C0;     #13;    iRdy =1'b0;
5243
        #13;    iDi[15:0] =16'h92C1;     #13;    iRdy =1'b1;
5244
        #13;    iDi[15:0] =16'h92C2;     #13;    iRdy =1'b0;
5245
        #13;    iDi[15:0] =16'h92C3;     #13;    iRdy =1'b1;
5246
        #13;    iDi[15:0] =16'h92C4;     #13;    iRdy =1'b0;
5247
        #13;    iDi[15:0] =16'h92C5;     #13;    iRdy =1'b1;
5248
        #13;    iDi[15:0] =16'h92C6;     #13;    iRdy =1'b0;
5249
        #13;    iDi[15:0] =16'h92C7;     #13;    iRdy =1'b1;
5250
        #13;    iDi[15:0] =16'h92C8;     #13;    iRdy =1'b0;
5251
        #13;    iDi[15:0] =16'h92C9;     #13;    iRdy =1'b1;
5252
        #13;    iDi[15:0] =16'h92CA;     #13;    iRdy =1'b0;
5253
        #13;    iDi[15:0] =16'h92CB;     #13;    iRdy =1'b1;
5254
        #13;    iDi[15:0] =16'h92CC;     #13;    iRdy =1'b0;
5255
        #13;    iDi[15:0] =16'h92CD;     #13;    iRdy =1'b1;
5256
        #13;    iDi[15:0] =16'h92CE;     #13;    iRdy =1'b0;
5257
        #13;    iDi[15:0] =16'h92CF;     #13;    iRdy =1'b1;
5258
        #13;    iDi[15:0] =16'h92D0;     #13;    iRdy =1'b0;
5259
        #13;    iDi[15:0] =16'h92D1;     #13;    iRdy =1'b1;
5260
        #13;    iDi[15:0] =16'h92D2;     #13;    iRdy =1'b0;
5261
        #13;    iDi[15:0] =16'h92D3;     #13;    iRdy =1'b1;
5262
        #13;    iDi[15:0] =16'h92D4;     #13;    iRdy =1'b0;
5263
        #13;    iDi[15:0] =16'h92D5;     #13;    iRdy =1'b1;
5264
        #13;    iDi[15:0] =16'h92D6;     #13;    iRdy =1'b0;
5265
        #13;    iDi[15:0] =16'h92D7;     #13;    iRdy =1'b1;
5266
        #13;    iDi[15:0] =16'h92D8;     #13;    iRdy =1'b0;
5267
        #13;    iDi[15:0] =16'h92D9;     #13;    iRdy =1'b1;
5268
        #13;    iDi[15:0] =16'h92DA;     #13;    iRdy =1'b0;
5269
        #13;    iDi[15:0] =16'h92DB;     #13;    iRdy =1'b1;
5270
        #13;    iDi[15:0] =16'h92DC;     #13;    iRdy =1'b0;
5271
        #13;    iDi[15:0] =16'h92DD;     #13;    iRdy =1'b1;
5272
        #13;    iDi[15:0] =16'h92DE;     #13;    iRdy =1'b0;
5273
        #13;    iDi[15:0] =16'h92DF;     #13;    iRdy =1'b1;
5274
        #13;    iDi[15:0] =16'h92E0;     #13;    iRdy =1'b0;
5275
        #13;    iDi[15:0] =16'h92E1;     #13;    iRdy =1'b1;
5276
        #13;    iDi[15:0] =16'h92E2;     #13;    iRdy =1'b0;
5277
        #13;    iDi[15:0] =16'h92E3;     #13;    iRdy =1'b1;
5278
        #13;    iDi[15:0] =16'h92E4;     #13;    iRdy =1'b0;
5279
        #13;    iDi[15:0] =16'h92E5;     #13;    iRdy =1'b1;
5280
        #13;    iDi[15:0] =16'h92E6;     #13;    iRdy =1'b0;
5281
        #13;    iDi[15:0] =16'h92E7;     #13;    iRdy =1'b1;
5282
        #13;    iDi[15:0] =16'h92E8;     #13;    iRdy =1'b0;
5283
        #13;    iDi[15:0] =16'h92E9;     #13;    iRdy =1'b1;
5284
        #13;    iDi[15:0] =16'h92EA;     #13;    iRdy =1'b0;
5285
        #13;    iDi[15:0] =16'h92EB;     #13;    iRdy =1'b1;
5286
        #13;    iDi[15:0] =16'h92EC;     #13;    iRdy =1'b0;
5287
        #13;    iDi[15:0] =16'h92ED;     #13;    iRdy =1'b1;
5288
        #13;    iDi[15:0] =16'h92EE;     #13;    iRdy =1'b0;
5289
        #13;    iDi[15:0] =16'h92EF;     #13;    iRdy =1'b1;
5290
        #13;    iDi[15:0] =16'h92F0;     #13;    iRdy =1'b0;
5291
        #13;    iDi[15:0] =16'h92F1;     #13;    iRdy =1'b1;
5292
        #13;    iDi[15:0] =16'h92F2;     #13;    iRdy =1'b0;
5293
        #13;    iDi[15:0] =16'h92F3;     #13;    iRdy =1'b1;
5294
        #13;    iDi[15:0] =16'h92F4;     #13;    iRdy =1'b0;
5295
        #13;    iDi[15:0] =16'h92F5;     #13;    iRdy =1'b1;
5296
        #13;    iDi[15:0] =16'h92F6;     #13;    iRdy =1'b0;
5297
        #13;    iDi[15:0] =16'h92F7;     #13;    iRdy =1'b1;
5298
        #13;    iDi[15:0] =16'h92F8;     #13;    iRdy =1'b0;
5299
        #13;    iDi[15:0] =16'h92F9;     #13;    iRdy =1'b1;
5300
        #13;    iDi[15:0] =16'h92FA;     #13;    iRdy =1'b0;
5301
        #13;    iDi[15:0] =16'h92FB;     #13;    iRdy =1'b1;
5302
        #13;    iDi[15:0] =16'h92FC;     #13;    iRdy =1'b0;
5303
        #13;    iDi[15:0] =16'h92FD;     #13;    iRdy =1'b1;
5304
        #13;    iDi[15:0] =16'h92FE;     #13;    iRdy =1'b0;
5305
        #13;    iDi[15:0] =16'h92FF;     #13;    iRdy =1'b1;
5306
        #13;    iDi[15:0] =16'h9300;     #13;    iRdy =1'b0;
5307
        #13;    iDi[15:0] =16'h9301;     #13;    iRdy =1'b1;
5308
        #13;    iDi[15:0] =16'h9302;     #13;    iRdy =1'b0;
5309
        #13;    iDi[15:0] =16'h9303;     #13;    iRdy =1'b1;
5310
        #13;    iDi[15:0] =16'h9304;     #13;    iRdy =1'b0;
5311
        #13;    iDi[15:0] =16'h9305;     #13;    iRdy =1'b1;
5312
        #13;    iDi[15:0] =16'h9306;     #13;    iRdy =1'b0;
5313
        #13;    iDi[15:0] =16'h9307;     #13;    iRdy =1'b1;
5314
        #13;    iDi[15:0] =16'h9308;     #13;    iRdy =1'b0;
5315
        #13;    iDi[15:0] =16'h9309;     #13;    iRdy =1'b1;
5316
        #13;    iDi[15:0] =16'h930A;     #13;    iRdy =1'b0;
5317
        #13;    iDi[15:0] =16'h930B;     #13;    iRdy =1'b1;
5318
        #13;    iDi[15:0] =16'h930C;     #13;    iRdy =1'b0;
5319
        #13;    iDi[15:0] =16'h930D;     #13;    iRdy =1'b1;
5320
        #13;    iDi[15:0] =16'h930E;     #13;    iRdy =1'b0;
5321
        #13;    iDi[15:0] =16'h930F;     #13;    iRdy =1'b1;
5322
        #13;    iDi[15:0] =16'h9310;     #13;    iRdy =1'b0;
5323
        #13;    iDi[15:0] =16'h9311;     #13;    iRdy =1'b1;
5324
        #13;    iDi[15:0] =16'h9312;     #13;    iRdy =1'b0;
5325
        #13;    iDi[15:0] =16'h9313;     #13;    iRdy =1'b1;
5326
        #13;    iDi[15:0] =16'h9314;     #13;    iRdy =1'b0;
5327
        #13;    iDi[15:0] =16'h9315;     #13;    iRdy =1'b1;
5328
        #13;    iDi[15:0] =16'h9316;     #13;    iRdy =1'b0;
5329
        #13;    iDi[15:0] =16'h9317;     #13;    iRdy =1'b1;
5330
        #13;    iDi[15:0] =16'h9318;     #13;    iRdy =1'b0;
5331
        #13;    iDi[15:0] =16'h9319;     #13;    iRdy =1'b1;
5332
        #13;    iDi[15:0] =16'h931A;     #13;    iRdy =1'b0;
5333
        #13;    iDi[15:0] =16'h931B;     #13;    iRdy =1'b1;
5334
        #13;    iDi[15:0] =16'h931C;     #13;    iRdy =1'b0;
5335
        #13;    iDi[15:0] =16'h931D;     #13;    iRdy =1'b1;
5336
        #13;    iDi[15:0] =16'h931E;     #13;    iRdy =1'b0;
5337
        #13;    iDi[15:0] =16'h931F;     #13;    iRdy =1'b1;
5338
        #13;    iDi[15:0] =16'h9320;     #13;    iRdy =1'b0;
5339
        #13;    iDi[15:0] =16'h9321;     #13;    iRdy =1'b1;
5340
        #13;    iDi[15:0] =16'h9322;     #13;    iRdy =1'b0;
5341
        #13;    iDi[15:0] =16'h9323;     #13;    iRdy =1'b1;
5342
        #13;    iDi[15:0] =16'h9324;     #13;    iRdy =1'b0;
5343
        #13;    iDi[15:0] =16'h9325;     #13;    iRdy =1'b1;
5344
        #13;    iDi[15:0] =16'h9326;     #13;    iRdy =1'b0;
5345
        #13;    iDi[15:0] =16'h9327;     #13;    iRdy =1'b1;
5346
        #13;    iDi[15:0] =16'h9328;     #13;    iRdy =1'b0;
5347
        #13;    iDi[15:0] =16'h9329;     #13;    iRdy =1'b1;
5348
        #13;    iDi[15:0] =16'h932A;     #13;    iRdy =1'b0;
5349
        #13;    iDi[15:0] =16'h932B;     #13;    iRdy =1'b1;
5350
        #13;    iDi[15:0] =16'h932C;     #13;    iRdy =1'b0;
5351
        #13;    iDi[15:0] =16'h932D;     #13;    iRdy =1'b1;
5352
        #13;    iDi[15:0] =16'h932E;     #13;    iRdy =1'b0;
5353
        #13;    iDi[15:0] =16'h932F;     #13;    iRdy =1'b1;
5354
        #13;    iDi[15:0] =16'h9330;     #13;    iRdy =1'b0;
5355
        #13;    iDi[15:0] =16'h9331;     #13;    iRdy =1'b1;
5356
        #13;    iDi[15:0] =16'h9332;     #13;    iRdy =1'b0;
5357
        #13;    iDi[15:0] =16'h9333;     #13;    iRdy =1'b1;
5358
        #13;    iDi[15:0] =16'h9334;     #13;    iRdy =1'b0;
5359
        #13;    iDi[15:0] =16'h9335;     #13;    iRdy =1'b1;
5360
        #13;    iDi[15:0] =16'h9336;     #13;    iRdy =1'b0;
5361
        #13;    iDi[15:0] =16'h9337;     #13;    iRdy =1'b1;
5362
        #13;    iDi[15:0] =16'h9338;     #13;    iRdy =1'b0;
5363
        #13;    iDi[15:0] =16'h9339;     #13;    iRdy =1'b1;
5364
        #13;    iDi[15:0] =16'h933A;     #13;    iRdy =1'b0;
5365
        #13;    iDi[15:0] =16'h933B;     #13;    iRdy =1'b1;
5366
        #13;    iDi[15:0] =16'h933C;     #13;    iRdy =1'b0;
5367
        #13;    iDi[15:0] =16'h933D;     #13;    iRdy =1'b1;
5368
        #13;    iDi[15:0] =16'h933E;     #13;    iRdy =1'b0;
5369
        #13;    iDi[15:0] =16'h933F;     #13;    iRdy =1'b1;
5370
        #13;    iDi[15:0] =16'h9340;     #13;    iRdy =1'b0;
5371
        #13;    iDi[15:0] =16'h9341;     #13;    iRdy =1'b1;
5372
        #13;    iDi[15:0] =16'h9342;     #13;    iRdy =1'b0;
5373
        #13;    iDi[15:0] =16'h9343;     #13;    iRdy =1'b1;
5374
        #13;    iDi[15:0] =16'h9344;     #13;    iRdy =1'b0;
5375
        #13;    iDi[15:0] =16'h9345;     #13;    iRdy =1'b1;
5376
        #13;    iDi[15:0] =16'h9346;     #13;    iRdy =1'b0;
5377
        #13;    iDi[15:0] =16'h9347;     #13;    iRdy =1'b1;
5378
        #13;    iDi[15:0] =16'h9348;     #13;    iRdy =1'b0;
5379
        #13;    iDi[15:0] =16'h9349;     #13;    iRdy =1'b1;
5380
        #13;    iDi[15:0] =16'h934A;     #13;    iRdy =1'b0;
5381
        #13;    iDi[15:0] =16'h934B;     #13;    iRdy =1'b1;
5382
        #13;    iDi[15:0] =16'h934C;     #13;    iRdy =1'b0;
5383
        #13;    iDi[15:0] =16'h934D;     #13;    iRdy =1'b1;
5384
        #13;    iDi[15:0] =16'h934E;     #13;    iRdy =1'b0;
5385
        #13;    iDi[15:0] =16'h934F;     #13;    iRdy =1'b1;
5386
        #13;    iDi[15:0] =16'h9350;     #13;    iRdy =1'b0;
5387
        #13;    iDi[15:0] =16'h9351;     #13;    iRdy =1'b1;
5388
        #13;    iDi[15:0] =16'h9352;     #13;    iRdy =1'b0;
5389
        #13;    iDi[15:0] =16'h9353;     #13;    iRdy =1'b1;
5390
        #13;    iDi[15:0] =16'h9354;     #13;    iRdy =1'b0;
5391
        #13;    iDi[15:0] =16'h9355;     #13;    iRdy =1'b1;
5392
        #13;    iDi[15:0] =16'h9356;     #13;    iRdy =1'b0;
5393
        #13;    iDi[15:0] =16'h9357;     #13;    iRdy =1'b1;
5394
        #13;    iDi[15:0] =16'h9358;     #13;    iRdy =1'b0;
5395
        #13;    iDi[15:0] =16'h9359;     #13;    iRdy =1'b1;
5396
        #13;    iDi[15:0] =16'h935A;     #13;    iRdy =1'b0;
5397
        #13;    iDi[15:0] =16'h935B;     #13;    iRdy =1'b1;
5398
        #13;    iDi[15:0] =16'h935C;     #13;    iRdy =1'b0;
5399
        #13;    iDi[15:0] =16'h935D;     #13;    iRdy =1'b1;
5400
        #13;    iDi[15:0] =16'h935E;     #13;    iRdy =1'b0;
5401
        #13;    iDi[15:0] =16'h935F;     #13;    iRdy =1'b1;
5402
        #13;    iDi[15:0] =16'h9360;     #13;    iRdy =1'b0;
5403
        #13;    iDi[15:0] =16'h9361;     #13;    iRdy =1'b1;
5404
        #13;    iDi[15:0] =16'h9362;     #13;    iRdy =1'b0;
5405
        #13;    iDi[15:0] =16'h9363;     #13;    iRdy =1'b1;
5406
        #13;    iDi[15:0] =16'h9364;     #13;    iRdy =1'b0;
5407
        #13;    iDi[15:0] =16'h9365;     #13;    iRdy =1'b1;
5408
        #13;    iDi[15:0] =16'h9366;     #13;    iRdy =1'b0;
5409
        #13;    iDi[15:0] =16'h9367;     #13;    iRdy =1'b1;
5410
        #13;    iDi[15:0] =16'h9368;     #13;    iRdy =1'b0;
5411
        #13;    iDi[15:0] =16'h9369;     #13;    iRdy =1'b1;
5412
        #13;    iDi[15:0] =16'h936A;     #13;    iRdy =1'b0;
5413
        #13;    iDi[15:0] =16'h936B;     #13;    iRdy =1'b1;
5414
        #13;    iDi[15:0] =16'h936C;     #13;    iRdy =1'b0;
5415
        #13;    iDi[15:0] =16'h936D;     #13;    iRdy =1'b1;
5416
        #13;    iDi[15:0] =16'h936E;     #13;    iRdy =1'b0;
5417
        #13;    iDi[15:0] =16'h936F;     #13;    iRdy =1'b1;
5418
        #13;    iDi[15:0] =16'h9370;     #13;    iRdy =1'b0;
5419
        #13;    iDi[15:0] =16'h9371;     #13;    iRdy =1'b1;
5420
        #13;    iDi[15:0] =16'h9372;     #13;    iRdy =1'b0;
5421
        #13;    iDi[15:0] =16'h9373;     #13;    iRdy =1'b1;
5422
        #13;    iDi[15:0] =16'h9374;     #13;    iRdy =1'b0;
5423
        #13;    iDi[15:0] =16'h9375;     #13;    iRdy =1'b1;
5424
        #13;    iDi[15:0] =16'h9376;     #13;    iRdy =1'b0;
5425
        #13;    iDi[15:0] =16'h9377;     #13;    iRdy =1'b1;
5426
        #13;    iDi[15:0] =16'h9378;     #13;    iRdy =1'b0;
5427
        #13;    iDi[15:0] =16'h9379;     #13;    iRdy =1'b1;
5428
        #13;    iDi[15:0] =16'h937A;     #13;    iRdy =1'b0;
5429
        #13;    iDi[15:0] =16'h937B;     #13;    iRdy =1'b1;
5430
        #13;    iDi[15:0] =16'h937C;     #13;    iRdy =1'b0;
5431
        #13;    iDi[15:0] =16'h937D;     #13;    iRdy =1'b1;
5432
        #13;    iDi[15:0] =16'h937E;     #13;    iRdy =1'b0;
5433
        #13;    iDi[15:0] =16'h937F;     #13;    iRdy =1'b1;
5434
        #13;    iDi[15:0] =16'h9380;     #13;    iRdy =1'b0;
5435
        #13;    iDi[15:0] =16'h9381;     #13;    iRdy =1'b1;
5436
        #13;    iDi[15:0] =16'h9382;     #13;    iRdy =1'b0;
5437
        #13;    iDi[15:0] =16'h9383;     #13;    iRdy =1'b1;
5438
        #13;    iDi[15:0] =16'h9384;     #13;    iRdy =1'b0;
5439
        #13;    iDi[15:0] =16'h9385;     #13;    iRdy =1'b1;
5440
        #13;    iDi[15:0] =16'h9386;     #13;    iRdy =1'b0;
5441
        #13;    iDi[15:0] =16'h9387;     #13;    iRdy =1'b1;
5442
        #13;    iDi[15:0] =16'h9388;     #13;    iRdy =1'b0;
5443
        #13;    iDi[15:0] =16'h9389;     #13;    iRdy =1'b1;
5444
        #13;    iDi[15:0] =16'h938A;     #13;    iRdy =1'b0;
5445
        #13;    iDi[15:0] =16'h938B;     #13;    iRdy =1'b1;
5446
        #13;    iDi[15:0] =16'h938C;     #13;    iRdy =1'b0;
5447
        #13;    iDi[15:0] =16'h938D;     #13;    iRdy =1'b1;
5448
        #13;    iDi[15:0] =16'h938E;     #13;    iRdy =1'b0;
5449
        #13;    iDi[15:0] =16'h938F;     #13;    iRdy =1'b1;
5450
        #13;    iDi[15:0] =16'h9390;     #13;    iRdy =1'b0;
5451
        #13;    iDi[15:0] =16'h9391;     #13;    iRdy =1'b1;
5452
        #13;    iDi[15:0] =16'h9392;     #13;    iRdy =1'b0;
5453
        #13;    iDi[15:0] =16'h9393;     #13;    iRdy =1'b1;
5454
        #13;    iDi[15:0] =16'h9394;     #13;    iRdy =1'b0;
5455
        #13;    iDi[15:0] =16'h9395;     #13;    iRdy =1'b1;
5456
        #13;    iDi[15:0] =16'h9396;     #13;    iRdy =1'b0;
5457
        #13;    iDi[15:0] =16'h9397;     #13;    iRdy =1'b1;
5458
        #13;    iDi[15:0] =16'h9398;     #13;    iRdy =1'b0;
5459
        #13;    iDi[15:0] =16'h9399;     #13;    iRdy =1'b1;
5460
        #13;    iDi[15:0] =16'h939A;     #13;    iRdy =1'b0;
5461
        #13;    iDi[15:0] =16'h939B;     #13;    iRdy =1'b1;
5462
        #13;    iDi[15:0] =16'h939C;     #13;    iRdy =1'b0;
5463
        #13;    iDi[15:0] =16'h939D;     #13;    iRdy =1'b1;
5464
        #13;    iDi[15:0] =16'h939E;     #13;    iRdy =1'b0;
5465
        #13;    iDi[15:0] =16'h939F;     #13;    iRdy =1'b1;
5466
        #13;    iDi[15:0] =16'h93A0;     #13;    iRdy =1'b0;
5467
        #13;    iDi[15:0] =16'h93A1;     #13;    iRdy =1'b1;
5468
        #13;    iDi[15:0] =16'h93A2;     #13;    iRdy =1'b0;
5469
        #13;    iDi[15:0] =16'h93A3;     #13;    iRdy =1'b1;
5470
        #13;    iDi[15:0] =16'h93A4;     #13;    iRdy =1'b0;
5471
        #13;    iDi[15:0] =16'h93A5;     #13;    iRdy =1'b1;
5472
        #13;    iDi[15:0] =16'h93A6;     #13;    iRdy =1'b0;
5473
        #13;    iDi[15:0] =16'h93A7;     #13;    iRdy =1'b1;
5474
        #13;    iDi[15:0] =16'h93A8;     #13;    iRdy =1'b0;
5475
        #13;    iDi[15:0] =16'h93A9;     #13;    iRdy =1'b1;
5476
        #13;    iDi[15:0] =16'h93AA;     #13;    iRdy =1'b0;
5477
        #13;    iDi[15:0] =16'h93AB;     #13;    iRdy =1'b1;
5478
        #13;    iDi[15:0] =16'h93AC;     #13;    iRdy =1'b0;
5479
        #13;    iDi[15:0] =16'h93AD;     #13;    iRdy =1'b1;
5480
        #13;    iDi[15:0] =16'h93AE;     #13;    iRdy =1'b0;
5481
        #13;    iDi[15:0] =16'h93AF;     #13;    iRdy =1'b1;
5482
        #13;    iDi[15:0] =16'h93B0;     #13;    iRdy =1'b0;
5483
        #13;    iDi[15:0] =16'h93B1;     #13;    iRdy =1'b1;
5484
        #13;    iDi[15:0] =16'h93B2;     #13;    iRdy =1'b0;
5485
        #13;    iDi[15:0] =16'h93B3;     #13;    iRdy =1'b1;
5486
        #13;    iDi[15:0] =16'h93B4;     #13;    iRdy =1'b0;
5487
        #13;    iDi[15:0] =16'h93B5;     #13;    iRdy =1'b1;
5488
        #13;    iDi[15:0] =16'h93B6;     #13;    iRdy =1'b0;
5489
        #13;    iDi[15:0] =16'h93B7;     #13;    iRdy =1'b1;
5490
        #13;    iDi[15:0] =16'h93B8;     #13;    iRdy =1'b0;
5491
        #13;    iDi[15:0] =16'h93B9;     #13;    iRdy =1'b1;
5492
        #13;    iDi[15:0] =16'h93BA;     #13;    iRdy =1'b0;
5493
        #13;    iDi[15:0] =16'h93BB;     #13;    iRdy =1'b1;
5494
        #13;    iDi[15:0] =16'h93BC;     #13;    iRdy =1'b0;
5495
        #13;    iDi[15:0] =16'h93BD;     #13;    iRdy =1'b1;
5496
        #13;    iDi[15:0] =16'h93BE;     #13;    iRdy =1'b0;
5497
        #13;    iDi[15:0] =16'h93BF;     #13;    iRdy =1'b1;
5498
        #13;    iDi[15:0] =16'h93C0;     #13;    iRdy =1'b0;
5499
        #13;    iDi[15:0] =16'h93C1;     #13;    iRdy =1'b1;
5500
        #13;    iDi[15:0] =16'h93C2;     #13;    iRdy =1'b0;
5501
        #13;    iDi[15:0] =16'h93C3;     #13;    iRdy =1'b1;
5502
        #13;    iDi[15:0] =16'h93C4;     #13;    iRdy =1'b0;
5503
        #13;    iDi[15:0] =16'h93C5;     #13;    iRdy =1'b1;
5504
        #13;    iDi[15:0] =16'h93C6;     #13;    iRdy =1'b0;
5505
        #13;    iDi[15:0] =16'h93C7;     #13;    iRdy =1'b1;
5506
        #13;    iDi[15:0] =16'h93C8;     #13;    iRdy =1'b0;
5507
        #13;    iDi[15:0] =16'h93C9;     #13;    iRdy =1'b1;
5508
        #13;    iDi[15:0] =16'h93CA;     #13;    iRdy =1'b0;
5509
        #13;    iDi[15:0] =16'h93CB;     #13;    iRdy =1'b1;
5510
        #13;    iDi[15:0] =16'h93CC;     #13;    iRdy =1'b0;
5511
        #13;    iDi[15:0] =16'h93CD;     #13;    iRdy =1'b1;
5512
        #13;    iDi[15:0] =16'h93CE;     #13;    iRdy =1'b0;
5513
        #13;    iDi[15:0] =16'h93CF;     #13;    iRdy =1'b1;
5514
        #13;    iDi[15:0] =16'h93D0;     #13;    iRdy =1'b0;
5515
        #13;    iDi[15:0] =16'h93D1;     #13;    iRdy =1'b1;
5516
        #13;    iDi[15:0] =16'h93D2;     #13;    iRdy =1'b0;
5517
        #13;    iDi[15:0] =16'h93D3;     #13;    iRdy =1'b1;
5518
        #13;    iDi[15:0] =16'h93D4;     #13;    iRdy =1'b0;
5519
        #13;    iDi[15:0] =16'h93D5;     #13;    iRdy =1'b1;
5520
        #13;    iDi[15:0] =16'h93D6;     #13;    iRdy =1'b0;
5521
        #13;    iDi[15:0] =16'h93D7;     #13;    iRdy =1'b1;
5522
        #13;    iDi[15:0] =16'h93D8;     #13;    iRdy =1'b0;
5523
        #13;    iDi[15:0] =16'h93D9;     #13;    iRdy =1'b1;
5524
        #13;    iDi[15:0] =16'h93DA;     #13;    iRdy =1'b0;
5525
        #13;    iDi[15:0] =16'h93DB;     #13;    iRdy =1'b1;
5526
        #13;    iDi[15:0] =16'h93DC;     #13;    iRdy =1'b0;
5527
        #13;    iDi[15:0] =16'h93DD;     #13;    iRdy =1'b1;
5528
        #13;    iDi[15:0] =16'h93DE;     #13;    iRdy =1'b0;
5529
        #13;    iDi[15:0] =16'h93DF;     #13;    iRdy =1'b1;
5530
        #13;    iDi[15:0] =16'h93E0;     #13;    iRdy =1'b0;
5531
        #13;    iDi[15:0] =16'h93E1;     #13;    iRdy =1'b1;
5532
        #13;    iDi[15:0] =16'h93E2;     #13;    iRdy =1'b0;
5533
        #13;    iDi[15:0] =16'h93E3;     #13;    iRdy =1'b1;
5534
        #13;    iDi[15:0] =16'h93E4;     #13;    iRdy =1'b0;
5535
        #13;    iDi[15:0] =16'h93E5;     #13;    iRdy =1'b1;
5536
        #13;    iDi[15:0] =16'h93E6;     #13;    iRdy =1'b0;
5537
        #13;    iDi[15:0] =16'h93E7;     #13;    iRdy =1'b1;
5538
        #13;    iDi[15:0] =16'h93E8;     #13;    iRdy =1'b0;
5539
        #13;    iDi[15:0] =16'h93E9;     #13;    iRdy =1'b1;
5540
        #13;    iDi[15:0] =16'h93EA;     #13;    iRdy =1'b0;
5541
        #13;    iDi[15:0] =16'h93EB;     #13;    iRdy =1'b1;
5542
        #13;    iDi[15:0] =16'h93EC;     #13;    iRdy =1'b0;
5543
        #13;    iDi[15:0] =16'h93ED;     #13;    iRdy =1'b1;
5544
        #13;    iDi[15:0] =16'h93EE;     #13;    iRdy =1'b0;
5545
        #13;    iDi[15:0] =16'h93EF;     #13;    iRdy =1'b1;
5546
        #13;    iDi[15:0] =16'h93F0;     #13;    iRdy =1'b0;
5547
        #13;    iDi[15:0] =16'h93F1;     #13;    iRdy =1'b1;
5548
        #13;    iDi[15:0] =16'h93F2;     #13;    iRdy =1'b0;
5549
        #13;    iDi[15:0] =16'h93F3;     #13;    iRdy =1'b1;
5550
        #13;    iDi[15:0] =16'h93F4;     #13;    iRdy =1'b0;
5551
        #13;    iDi[15:0] =16'h93F5;     #13;    iRdy =1'b1;
5552
        #13;    iDi[15:0] =16'h93F6;     #13;    iRdy =1'b0;
5553
        #13;    iDi[15:0] =16'h93F7;     #13;    iRdy =1'b1;
5554
        #13;    iDi[15:0] =16'h93F8;     #13;    iRdy =1'b0;
5555
        #13;    iDi[15:0] =16'h93F9;     #13;    iRdy =1'b1;
5556
        #13;    iDi[15:0] =16'h93FA;     #13;    iRdy =1'b0;
5557
        #13;    iDi[15:0] =16'h93FB;     #13;    iRdy =1'b1;
5558
        #13;    iDi[15:0] =16'h93FC;     #13;    iRdy =1'b0;
5559
        #13;    iDi[15:0] =16'h93FD;     #13;    iRdy =1'b1;
5560
        #13;    iDi[15:0] =16'h93FE;     #13;    iRdy =1'b0;
5561
        #13;    iDi[15:0] =16'h93FF;     #13;    iRdy =1'b1;
5562
        #13;    iDi[15:0] =16'h9400;     #13;    iRdy =1'b0;
5563
        #13;    iDi[15:0] =16'h9401;     #13;    iRdy =1'b1;
5564
        #13;    iDi[15:0] =16'h9402;     #13;    iRdy =1'b0;
5565
        #13;    iDi[15:0] =16'h9403;     #13;    iRdy =1'b1;
5566
        #13;    iDi[15:0] =16'h9404;     #13;    iRdy =1'b0;
5567
        #13;    iDi[15:0] =16'h9405;     #13;    iRdy =1'b1;
5568
        #13;    iDi[15:0] =16'h9406;     #13;    iRdy =1'b0;
5569
        #13;    iDi[15:0] =16'h9407;     #13;    iRdy =1'b1;
5570
        #13;    iDi[15:0] =16'h9408;     #13;    iRdy =1'b0;
5571
        #13;    iDi[15:0] =16'h9409;     #13;    iRdy =1'b1;
5572
        #13;    iDi[15:0] =16'h940A;     #13;    iRdy =1'b0;
5573
        #13;    iDi[15:0] =16'h940B;     #13;    iRdy =1'b1;
5574
        #13;    iDi[15:0] =16'h940C;     #13;    iRdy =1'b0;
5575
        #13;    iDi[15:0] =16'h940D;     #13;    iRdy =1'b1;
5576
        #13;    iDi[15:0] =16'h940E;     #13;    iRdy =1'b0;
5577
        #13;    iDi[15:0] =16'h940F;     #13;    iRdy =1'b1;
5578
        #13;    iDi[15:0] =16'h9410;     #13;    iRdy =1'b0;
5579
        #13;    iDi[15:0] =16'h9411;     #13;    iRdy =1'b1;
5580
        #13;    iDi[15:0] =16'h9412;     #13;    iRdy =1'b0;
5581
        #13;    iDi[15:0] =16'h9413;     #13;    iRdy =1'b1;
5582
        #13;    iDi[15:0] =16'h9414;     #13;    iRdy =1'b0;
5583
        #13;    iDi[15:0] =16'h9415;     #13;    iRdy =1'b1;
5584
        #13;    iDi[15:0] =16'h9416;     #13;    iRdy =1'b0;
5585
        #13;    iDi[15:0] =16'h9417;     #13;    iRdy =1'b1;
5586
        #13;    iDi[15:0] =16'h9418;     #13;    iRdy =1'b0;
5587
        #13;    iDi[15:0] =16'h9419;     #13;    iRdy =1'b1;
5588
        #13;    iDi[15:0] =16'h941A;     #13;    iRdy =1'b0;
5589
        #13;    iDi[15:0] =16'h941B;     #13;    iRdy =1'b1;
5590
        #13;    iDi[15:0] =16'h941C;     #13;    iRdy =1'b0;
5591
        #13;    iDi[15:0] =16'h941D;     #13;    iRdy =1'b1;
5592
        #13;    iDi[15:0] =16'h941E;     #13;    iRdy =1'b0;
5593
        #13;    iDi[15:0] =16'h941F;     #13;    iRdy =1'b1;
5594
        #13;    iDi[15:0] =16'h9420;     #13;    iRdy =1'b0;
5595
        #13;    iDi[15:0] =16'h9421;     #13;    iRdy =1'b1;
5596
        #13;    iDi[15:0] =16'h9422;     #13;    iRdy =1'b0;
5597
        #13;    iDi[15:0] =16'h9423;     #13;    iRdy =1'b1;
5598
        #13;    iDi[15:0] =16'h9424;     #13;    iRdy =1'b0;
5599
        #13;    iDi[15:0] =16'h9425;     #13;    iRdy =1'b1;
5600
        #13;    iDi[15:0] =16'h9426;     #13;    iRdy =1'b0;
5601
        #13;    iDi[15:0] =16'h9427;     #13;    iRdy =1'b1;
5602
        #13;    iDi[15:0] =16'h9428;     #13;    iRdy =1'b0;
5603
        #13;    iDi[15:0] =16'h9429;     #13;    iRdy =1'b1;
5604
        #13;    iDi[15:0] =16'h942A;     #13;    iRdy =1'b0;
5605
        #13;    iDi[15:0] =16'h942B;     #13;    iRdy =1'b1;
5606
        #13;    iDi[15:0] =16'h942C;     #13;    iRdy =1'b0;
5607
        #13;    iDi[15:0] =16'h942D;     #13;    iRdy =1'b1;
5608
        #13;    iDi[15:0] =16'h942E;     #13;    iRdy =1'b0;
5609
        #13;    iDi[15:0] =16'h942F;     #13;    iRdy =1'b1;
5610
        #13;    iDi[15:0] =16'h9430;     #13;    iRdy =1'b0;
5611
        #13;    iDi[15:0] =16'h9431;     #13;    iRdy =1'b1;
5612
        #13;    iDi[15:0] =16'h9432;     #13;    iRdy =1'b0;
5613
        #13;    iDi[15:0] =16'h9433;     #13;    iRdy =1'b1;
5614
        #13;    iDi[15:0] =16'h9434;     #13;    iRdy =1'b0;
5615
        #13;    iDi[15:0] =16'h9435;     #13;    iRdy =1'b1;
5616
        #13;    iDi[15:0] =16'h9436;     #13;    iRdy =1'b0;
5617
        #13;    iDi[15:0] =16'h9437;     #13;    iRdy =1'b1;
5618
        #13;    iDi[15:0] =16'h9438;     #13;    iRdy =1'b0;
5619
        #13;    iDi[15:0] =16'h9439;     #13;    iRdy =1'b1;
5620
        #13;    iDi[15:0] =16'h943A;     #13;    iRdy =1'b0;
5621
        #13;    iDi[15:0] =16'h943B;     #13;    iRdy =1'b1;
5622
        #13;    iDi[15:0] =16'h943C;     #13;    iRdy =1'b0;
5623
        #13;    iDi[15:0] =16'h943D;     #13;    iRdy =1'b1;
5624
        #13;    iDi[15:0] =16'h943E;     #13;    iRdy =1'b0;
5625
        #13;    iDi[15:0] =16'h943F;     #13;    iRdy =1'b1;
5626
        #13;    iDi[15:0] =16'h9440;     #13;    iRdy =1'b0;
5627
        #13;    iDi[15:0] =16'h9441;     #13;    iRdy =1'b1;
5628
        #13;    iDi[15:0] =16'h9442;     #13;    iRdy =1'b0;
5629
        #13;    iDi[15:0] =16'h9443;     #13;    iRdy =1'b1;
5630
        #13;    iDi[15:0] =16'h9444;     #13;    iRdy =1'b0;
5631
        #13;    iDi[15:0] =16'h9445;     #13;    iRdy =1'b1;
5632
        #13;    iDi[15:0] =16'h9446;     #13;    iRdy =1'b0;
5633
        #13;    iDi[15:0] =16'h9447;     #13;    iRdy =1'b1;
5634
        #13;    iDi[15:0] =16'h9448;     #13;    iRdy =1'b0;
5635
        #13;    iDi[15:0] =16'h9449;     #13;    iRdy =1'b1;
5636
        #13;    iDi[15:0] =16'h944A;     #13;    iRdy =1'b0;
5637
        #13;    iDi[15:0] =16'h944B;     #13;    iRdy =1'b1;
5638
        #13;    iDi[15:0] =16'h944C;     #13;    iRdy =1'b0;
5639
        #13;    iDi[15:0] =16'h944D;     #13;    iRdy =1'b1;
5640
        #13;    iDi[15:0] =16'h944E;     #13;    iRdy =1'b0;
5641
        #13;    iDi[15:0] =16'h944F;     #13;    iRdy =1'b1;
5642
        #13;    iDi[15:0] =16'h9450;     #13;    iRdy =1'b0;
5643
        #13;    iDi[15:0] =16'h9451;     #13;    iRdy =1'b1;
5644
        #13;    iDi[15:0] =16'h9452;     #13;    iRdy =1'b0;
5645
        #13;    iDi[15:0] =16'h9453;     #13;    iRdy =1'b1;
5646
        #13;    iDi[15:0] =16'h9454;     #13;    iRdy =1'b0;
5647
        #13;    iDi[15:0] =16'h9455;     #13;    iRdy =1'b1;
5648
        #13;    iDi[15:0] =16'h9456;     #13;    iRdy =1'b0;
5649
        #13;    iDi[15:0] =16'h9457;     #13;    iRdy =1'b1;
5650
        #13;    iDi[15:0] =16'h9458;     #13;    iRdy =1'b0;
5651
        #13;    iDi[15:0] =16'h9459;     #13;    iRdy =1'b1;
5652
        #13;    iDi[15:0] =16'h945A;     #13;    iRdy =1'b0;
5653
        #13;    iDi[15:0] =16'h945B;     #13;    iRdy =1'b1;
5654
        #13;    iDi[15:0] =16'h945C;     #13;    iRdy =1'b0;
5655
        #13;    iDi[15:0] =16'h945D;     #13;    iRdy =1'b1;
5656
        #13;    iDi[15:0] =16'h945E;     #13;    iRdy =1'b0;
5657
        #13;    iDi[15:0] =16'h945F;     #13;    iRdy =1'b1;
5658
        #13;    iDi[15:0] =16'h9460;     #13;    iRdy =1'b0;
5659
        #13;    iDi[15:0] =16'h9461;     #13;    iRdy =1'b1;
5660
        #13;    iDi[15:0] =16'h9462;     #13;    iRdy =1'b0;
5661
        #13;    iDi[15:0] =16'h9463;     #13;    iRdy =1'b1;
5662
        #13;    iDi[15:0] =16'h9464;     #13;    iRdy =1'b0;
5663
        #13;    iDi[15:0] =16'h9465;     #13;    iRdy =1'b1;
5664
        #13;    iDi[15:0] =16'h9466;     #13;    iRdy =1'b0;
5665
        #13;    iDi[15:0] =16'h9467;     #13;    iRdy =1'b1;
5666
        #13;    iDi[15:0] =16'h9468;     #13;    iRdy =1'b0;
5667
        #13;    iDi[15:0] =16'h9469;     #13;    iRdy =1'b1;
5668
        #13;    iDi[15:0] =16'h946A;     #13;    iRdy =1'b0;
5669
        #13;    iDi[15:0] =16'h946B;     #13;    iRdy =1'b1;
5670
        #13;    iDi[15:0] =16'h946C;     #13;    iRdy =1'b0;
5671
        #13;    iDi[15:0] =16'h946D;     #13;    iRdy =1'b1;
5672
        #13;    iDi[15:0] =16'h946E;     #13;    iRdy =1'b0;
5673
        #13;    iDi[15:0] =16'h946F;     #13;    iRdy =1'b1;
5674
        #13;    iDi[15:0] =16'h9470;     #13;    iRdy =1'b0;
5675
        #13;    iDi[15:0] =16'h9471;     #13;    iRdy =1'b1;
5676
        #13;    iDi[15:0] =16'h9472;     #13;    iRdy =1'b0;
5677
        #13;    iDi[15:0] =16'h9473;     #13;    iRdy =1'b1;
5678
        #13;    iDi[15:0] =16'h9474;     #13;    iRdy =1'b0;
5679
        #13;    iDi[15:0] =16'h9475;     #13;    iRdy =1'b1;
5680
        #13;    iDi[15:0] =16'h9476;     #13;    iRdy =1'b0;
5681
        #13;    iDi[15:0] =16'h9477;     #13;    iRdy =1'b1;
5682
        #13;    iDi[15:0] =16'h9478;     #13;    iRdy =1'b0;
5683
        #13;    iDi[15:0] =16'h9479;     #13;    iRdy =1'b1;
5684
        #13;    iDi[15:0] =16'h947A;     #13;    iRdy =1'b0;
5685
        #13;    iDi[15:0] =16'h947B;     #13;    iRdy =1'b1;
5686
        #13;    iDi[15:0] =16'h947C;     #13;    iRdy =1'b0;
5687
        #13;    iDi[15:0] =16'h947D;     #13;    iRdy =1'b1;
5688
        #13;    iDi[15:0] =16'h947E;     #13;    iRdy =1'b0;
5689
        #13;    iDi[15:0] =16'h947F;     #13;    iRdy =1'b1;
5690
        #13;    iDi[15:0] =16'h9480;     #13;    iRdy =1'b0;
5691
        #13;    iDi[15:0] =16'h9481;     #13;    iRdy =1'b1;
5692
        #13;    iDi[15:0] =16'h9482;     #13;    iRdy =1'b0;
5693
        #13;    iDi[15:0] =16'h9483;     #13;    iRdy =1'b1;
5694
        #13;    iDi[15:0] =16'h9484;     #13;    iRdy =1'b0;
5695
        #13;    iDi[15:0] =16'h9485;     #13;    iRdy =1'b1;
5696
        #13;    iDi[15:0] =16'h9486;     #13;    iRdy =1'b0;
5697
        #13;    iDi[15:0] =16'h9487;     #13;    iRdy =1'b1;
5698
        #13;    iDi[15:0] =16'h9488;     #13;    iRdy =1'b0;
5699
        #13;    iDi[15:0] =16'h9489;     #13;    iRdy =1'b1;
5700
        #13;    iDi[15:0] =16'h948A;     #13;    iRdy =1'b0;
5701
        #13;    iDi[15:0] =16'h948B;     #13;    iRdy =1'b1;
5702
        #13;    iDi[15:0] =16'h948C;     #13;    iRdy =1'b0;
5703
        #13;    iDi[15:0] =16'h948D;     #13;    iRdy =1'b1;
5704
        #13;    iDi[15:0] =16'h948E;     #13;    iRdy =1'b0;
5705
        #13;    iDi[15:0] =16'h948F;     #13;    iRdy =1'b1;
5706
        #13;    iDi[15:0] =16'h9490;     #13;    iRdy =1'b0;
5707
        #13;    iDi[15:0] =16'h9491;     #13;    iRdy =1'b1;
5708
        #13;    iDi[15:0] =16'h9492;     #13;    iRdy =1'b0;
5709
        #13;    iDi[15:0] =16'h9493;     #13;    iRdy =1'b1;
5710
        #13;    iDi[15:0] =16'h9494;     #13;    iRdy =1'b0;
5711
        #13;    iDi[15:0] =16'h9495;     #13;    iRdy =1'b1;
5712
        #13;    iDi[15:0] =16'h9496;     #13;    iRdy =1'b0;
5713
        #13;    iDi[15:0] =16'h9497;     #13;    iRdy =1'b1;
5714
        #13;    iDi[15:0] =16'h9498;     #13;    iRdy =1'b0;
5715
        #13;    iDi[15:0] =16'h9499;     #13;    iRdy =1'b1;
5716
        #13;    iDi[15:0] =16'h949A;     #13;    iRdy =1'b0;
5717
        #13;    iDi[15:0] =16'h949B;     #13;    iRdy =1'b1;
5718
        #13;    iDi[15:0] =16'h949C;     #13;    iRdy =1'b0;
5719
        #13;    iDi[15:0] =16'h949D;     #13;    iRdy =1'b1;
5720
        #13;    iDi[15:0] =16'h949E;     #13;    iRdy =1'b0;
5721
        #13;    iDi[15:0] =16'h949F;     #13;    iRdy =1'b1;
5722
        #13;    iDi[15:0] =16'h94A0;     #13;    iRdy =1'b0;
5723
        #13;    iDi[15:0] =16'h94A1;     #13;    iRdy =1'b1;
5724
        #13;    iDi[15:0] =16'h94A2;     #13;    iRdy =1'b0;
5725
        #13;    iDi[15:0] =16'h94A3;     #13;    iRdy =1'b1;
5726
        #13;    iDi[15:0] =16'h94A4;     #13;    iRdy =1'b0;
5727
        #13;    iDi[15:0] =16'h94A5;     #13;    iRdy =1'b1;
5728
        #13;    iDi[15:0] =16'h94A6;     #13;    iRdy =1'b0;
5729
        #13;    iDi[15:0] =16'h94A7;     #13;    iRdy =1'b1;
5730
        #13;    iDi[15:0] =16'h94A8;     #13;    iRdy =1'b0;
5731
        #13;    iDi[15:0] =16'h94A9;     #13;    iRdy =1'b1;
5732
        #13;    iDi[15:0] =16'h94AA;     #13;    iRdy =1'b0;
5733
        #13;    iDi[15:0] =16'h94AB;     #13;    iRdy =1'b1;
5734
        #13;    iDi[15:0] =16'h94AC;     #13;    iRdy =1'b0;
5735
        #13;    iDi[15:0] =16'h94AD;     #13;    iRdy =1'b1;
5736
        #13;    iDi[15:0] =16'h94AE;     #13;    iRdy =1'b0;
5737
        #13;    iDi[15:0] =16'h94AF;     #13;    iRdy =1'b1;
5738
        #13;    iDi[15:0] =16'h94B0;     #13;    iRdy =1'b0;
5739
        #13;    iDi[15:0] =16'h94B1;     #13;    iRdy =1'b1;
5740
        #13;    iDi[15:0] =16'h94B2;     #13;    iRdy =1'b0;
5741
        #13;    iDi[15:0] =16'h94B3;     #13;    iRdy =1'b1;
5742
        #13;    iDi[15:0] =16'h94B4;     #13;    iRdy =1'b0;
5743
        #13;    iDi[15:0] =16'h94B5;     #13;    iRdy =1'b1;
5744
        #13;    iDi[15:0] =16'h94B6;     #13;    iRdy =1'b0;
5745
        #13;    iDi[15:0] =16'h94B7;     #13;    iRdy =1'b1;
5746
        #13;    iDi[15:0] =16'h94B8;     #13;    iRdy =1'b0;
5747
        #13;    iDi[15:0] =16'h94B9;     #13;    iRdy =1'b1;
5748
        #13;    iDi[15:0] =16'h94BA;     #13;    iRdy =1'b0;
5749
        #13;    iDi[15:0] =16'h94BB;     #13;    iRdy =1'b1;
5750
        #13;    iDi[15:0] =16'h94BC;     #13;    iRdy =1'b0;
5751
        #13;    iDi[15:0] =16'h94BD;     #13;    iRdy =1'b1;
5752
        #13;    iDi[15:0] =16'h94BE;     #13;    iRdy =1'b0;
5753
        #13;    iDi[15:0] =16'h94BF;     #13;    iRdy =1'b1;
5754
        #13;    iDi[15:0] =16'h94C0;     #13;    iRdy =1'b0;
5755
        #13;    iDi[15:0] =16'h94C1;     #13;    iRdy =1'b1;
5756
        #13;    iDi[15:0] =16'h94C2;     #13;    iRdy =1'b0;
5757
        #13;    iDi[15:0] =16'h94C3;     #13;    iRdy =1'b1;
5758
        #13;    iDi[15:0] =16'h94C4;     #13;    iRdy =1'b0;
5759
        #13;    iDi[15:0] =16'h94C5;     #13;    iRdy =1'b1;
5760
        #13;    iDi[15:0] =16'h94C6;     #13;    iRdy =1'b0;
5761
        #13;    iDi[15:0] =16'h94C7;     #13;    iRdy =1'b1;
5762
        #13;    iDi[15:0] =16'h94C8;     #13;    iRdy =1'b0;
5763
        #13;    iDi[15:0] =16'h94C9;     #13;    iRdy =1'b1;
5764
        #13;    iDi[15:0] =16'h94CA;     #13;    iRdy =1'b0;
5765
        #13;    iDi[15:0] =16'h94CB;     #13;    iRdy =1'b1;
5766
        #13;    iDi[15:0] =16'h94CC;     #13;    iRdy =1'b0;
5767
        #13;    iDi[15:0] =16'h94CD;     #13;    iRdy =1'b1;
5768
        #13;    iDi[15:0] =16'h94CE;     #13;    iRdy =1'b0;
5769
        #13;    iDi[15:0] =16'h94CF;     #13;    iRdy =1'b1;
5770
        #13;    iDi[15:0] =16'h94D0;     #13;    iRdy =1'b0;
5771
        #13;    iDi[15:0] =16'h94D1;     #13;    iRdy =1'b1;
5772
        #13;    iDi[15:0] =16'h94D2;     #13;    iRdy =1'b0;
5773
        #13;    iDi[15:0] =16'h94D3;     #13;    iRdy =1'b1;
5774
        #13;    iDi[15:0] =16'h94D4;     #13;    iRdy =1'b0;
5775
        #13;    iDi[15:0] =16'h94D5;     #13;    iRdy =1'b1;
5776
        #13;    iDi[15:0] =16'h94D6;     #13;    iRdy =1'b0;
5777
        #13;    iDi[15:0] =16'h94D7;     #13;    iRdy =1'b1;
5778
        #13;    iDi[15:0] =16'h94D8;     #13;    iRdy =1'b0;
5779
        #13;    iDi[15:0] =16'h94D9;     #13;    iRdy =1'b1;
5780
        #13;    iDi[15:0] =16'h94DA;     #13;    iRdy =1'b0;
5781
        #13;    iDi[15:0] =16'h94DB;     #13;    iRdy =1'b1;
5782
        #13;    iDi[15:0] =16'h94DC;     #13;    iRdy =1'b0;
5783
        #13;    iDi[15:0] =16'h94DD;     #13;    iRdy =1'b1;
5784
        #13;    iDi[15:0] =16'h94DE;     #13;    iRdy =1'b0;
5785
        #13;    iDi[15:0] =16'h94DF;     #13;    iRdy =1'b1;
5786
        #13;    iDi[15:0] =16'h94E0;     #13;    iRdy =1'b0;
5787
        #13;    iDi[15:0] =16'h94E1;     #13;    iRdy =1'b1;
5788
        #13;    iDi[15:0] =16'h94E2;     #13;    iRdy =1'b0;
5789
        #13;    iDi[15:0] =16'h94E3;     #13;    iRdy =1'b1;
5790
        #13;    iDi[15:0] =16'h94E4;     #13;    iRdy =1'b0;
5791
        #13;    iDi[15:0] =16'h94E5;     #13;    iRdy =1'b1;
5792
        #13;    iDi[15:0] =16'h94E6;     #13;    iRdy =1'b0;
5793
        #13;    iDi[15:0] =16'h94E7;     #13;    iRdy =1'b1;
5794
        #13;    iDi[15:0] =16'h94E8;     #13;    iRdy =1'b0;
5795
        #13;    iDi[15:0] =16'h94E9;     #13;    iRdy =1'b1;
5796
        #13;    iDi[15:0] =16'h94EA;     #13;    iRdy =1'b0;
5797
        #13;    iDi[15:0] =16'h94EB;     #13;    iRdy =1'b1;
5798
        #13;    iDi[15:0] =16'h94EC;     #13;    iRdy =1'b0;
5799
        #13;    iDi[15:0] =16'h94ED;     #13;    iRdy =1'b1;
5800
        #13;    iDi[15:0] =16'h94EE;     #13;    iRdy =1'b0;
5801
        #13;    iDi[15:0] =16'h94EF;     #13;    iRdy =1'b1;
5802
        #13;    iDi[15:0] =16'h94F0;     #13;    iRdy =1'b0;
5803
        #13;    iDi[15:0] =16'h94F1;     #13;    iRdy =1'b1;
5804
        #13;    iDi[15:0] =16'h94F2;     #13;    iRdy =1'b0;
5805
        #13;    iDi[15:0] =16'h94F3;     #13;    iRdy =1'b1;
5806
        #13;    iDi[15:0] =16'h94F4;     #13;    iRdy =1'b0;
5807
        #13;    iDi[15:0] =16'h94F5;     #13;    iRdy =1'b1;
5808
        #13;    iDi[15:0] =16'h94F6;     #13;    iRdy =1'b0;
5809
        #13;    iDi[15:0] =16'h94F7;     #13;    iRdy =1'b1;
5810
        #13;    iDi[15:0] =16'h94F8;     #13;    iRdy =1'b0;
5811
        #13;    iDi[15:0] =16'h94F9;     #13;    iRdy =1'b1;
5812
        #13;    iDi[15:0] =16'h94FA;     #13;    iRdy =1'b0;
5813
        #13;    iDi[15:0] =16'h94FB;     #13;    iRdy =1'b1;
5814
        #13;    iDi[15:0] =16'h94FC;     #13;    iRdy =1'b0;
5815
        #13;    iDi[15:0] =16'h94FD;     #13;    iRdy =1'b1;
5816
        #13;    iDi[15:0] =16'h94FE;     #13;    iRdy =1'b0;
5817
        #13;    iDi[15:0] =16'h94FF;     #13;    iRdy =1'b1;
5818
        #13;    iDi[15:0] =16'h9500;     #13;    iRdy =1'b0;
5819
        #13;    iDi[15:0] =16'h9501;     #13;    iRdy =1'b1;
5820
        #13;    iDi[15:0] =16'h9502;     #13;    iRdy =1'b0;
5821
        #13;    iDi[15:0] =16'h9503;     #13;    iRdy =1'b1;
5822
        #13;    iDi[15:0] =16'h9504;     #13;    iRdy =1'b0;
5823
        #13;    iDi[15:0] =16'h9505;     #13;    iRdy =1'b1;
5824
        #13;    iDi[15:0] =16'h9506;     #13;    iRdy =1'b0;
5825
        #13;    iDi[15:0] =16'h9507;     #13;    iRdy =1'b1;
5826
        #13;    iDi[15:0] =16'h9508;     #13;    iRdy =1'b0;
5827
        #13;    iDi[15:0] =16'h9509;     #13;    iRdy =1'b1;
5828
        #13;    iDi[15:0] =16'h950A;     #13;    iRdy =1'b0;
5829
        #13;    iDi[15:0] =16'h950B;     #13;    iRdy =1'b1;
5830
        #13;    iDi[15:0] =16'h950C;     #13;    iRdy =1'b0;
5831
        #13;    iDi[15:0] =16'h950D;     #13;    iRdy =1'b1;
5832
        #13;    iDi[15:0] =16'h950E;     #13;    iRdy =1'b0;
5833
        #13;    iDi[15:0] =16'h950F;     #13;    iRdy =1'b1;
5834
        #13;    iDi[15:0] =16'h9510;     #13;    iRdy =1'b0;
5835
        #13;    iDi[15:0] =16'h9511;     #13;    iRdy =1'b1;
5836
        #13;    iDi[15:0] =16'h9512;     #13;    iRdy =1'b0;
5837
        #13;    iDi[15:0] =16'h9513;     #13;    iRdy =1'b1;
5838
        #13;    iDi[15:0] =16'h9514;     #13;    iRdy =1'b0;
5839
        #13;    iDi[15:0] =16'h9515;     #13;    iRdy =1'b1;
5840
        #13;    iDi[15:0] =16'h9516;     #13;    iRdy =1'b0;
5841
        #13;    iDi[15:0] =16'h9517;     #13;    iRdy =1'b1;
5842
        #13;    iDi[15:0] =16'h9518;     #13;    iRdy =1'b0;
5843
        #13;    iDi[15:0] =16'h9519;     #13;    iRdy =1'b1;
5844
        #13;    iDi[15:0] =16'h951A;     #13;    iRdy =1'b0;
5845
        #13;    iDi[15:0] =16'h951B;     #13;    iRdy =1'b1;
5846
        #13;    iDi[15:0] =16'h951C;     #13;    iRdy =1'b0;
5847
        #13;    iDi[15:0] =16'h951D;     #13;    iRdy =1'b1;
5848
        #13;    iDi[15:0] =16'h951E;     #13;    iRdy =1'b0;
5849
        #13;    iDi[15:0] =16'h951F;     #13;    iRdy =1'b1;
5850
        #13;    iDi[15:0] =16'h9520;     #13;    iRdy =1'b0;
5851
        #13;    iDi[15:0] =16'h9521;     #13;    iRdy =1'b1;
5852
        #13;    iDi[15:0] =16'h9522;     #13;    iRdy =1'b0;
5853
        #13;    iDi[15:0] =16'h9523;     #13;    iRdy =1'b1;
5854
        #13;    iDi[15:0] =16'h9524;     #13;    iRdy =1'b0;
5855
        #13;    iDi[15:0] =16'h9525;     #13;    iRdy =1'b1;
5856
        #13;    iDi[15:0] =16'h9526;     #13;    iRdy =1'b0;
5857
        #13;    iDi[15:0] =16'h9527;     #13;    iRdy =1'b1;
5858
        #13;    iDi[15:0] =16'h9528;     #13;    iRdy =1'b0;
5859
        #13;    iDi[15:0] =16'h9529;     #13;    iRdy =1'b1;
5860
        #13;    iDi[15:0] =16'h952A;     #13;    iRdy =1'b0;
5861
        #13;    iDi[15:0] =16'h952B;     #13;    iRdy =1'b1;
5862
        #13;    iDi[15:0] =16'h952C;     #13;    iRdy =1'b0;
5863
        #13;    iDi[15:0] =16'h952D;     #13;    iRdy =1'b1;
5864
        #13;    iDi[15:0] =16'h952E;     #13;    iRdy =1'b0;
5865
        #13;    iDi[15:0] =16'h952F;     #13;    iRdy =1'b1;
5866
        #13;    iDi[15:0] =16'h9530;     #13;    iRdy =1'b0;
5867
        #13;    iDi[15:0] =16'h9531;     #13;    iRdy =1'b1;
5868
        #13;    iDi[15:0] =16'h9532;     #13;    iRdy =1'b0;
5869
        #13;    iDi[15:0] =16'h9533;     #13;    iRdy =1'b1;
5870
        #13;    iDi[15:0] =16'h9534;     #13;    iRdy =1'b0;
5871
        #13;    iDi[15:0] =16'h9535;     #13;    iRdy =1'b1;
5872
        #13;    iDi[15:0] =16'h9536;     #13;    iRdy =1'b0;
5873
        #13;    iDi[15:0] =16'h9537;     #13;    iRdy =1'b1;
5874
        #13;    iDi[15:0] =16'h9538;     #13;    iRdy =1'b0;
5875
        #13;    iDi[15:0] =16'h9539;     #13;    iRdy =1'b1;
5876
        #13;    iDi[15:0] =16'h953A;     #13;    iRdy =1'b0;
5877
        #13;    iDi[15:0] =16'h953B;     #13;    iRdy =1'b1;
5878
        #13;    iDi[15:0] =16'h953C;     #13;    iRdy =1'b0;
5879
        #13;    iDi[15:0] =16'h953D;     #13;    iRdy =1'b1;
5880
        #13;    iDi[15:0] =16'h953E;     #13;    iRdy =1'b0;
5881
        #13;    iDi[15:0] =16'h953F;     #13;    iRdy =1'b1;
5882
        #13;    iDi[15:0] =16'h9540;     #13;    iRdy =1'b0;
5883
        #13;    iDi[15:0] =16'h9541;     #13;    iRdy =1'b1;
5884
        #13;    iDi[15:0] =16'h9542;     #13;    iRdy =1'b0;
5885
        #13;    iDi[15:0] =16'h9543;     #13;    iRdy =1'b1;
5886
        #13;    iDi[15:0] =16'h9544;     #13;    iRdy =1'b0;
5887
        #13;    iDi[15:0] =16'h9545;     #13;    iRdy =1'b1;
5888
        #13;    iDi[15:0] =16'h9546;     #13;    iRdy =1'b0;
5889
        #13;    iDi[15:0] =16'h9547;     #13;    iRdy =1'b1;
5890
        #13;    iDi[15:0] =16'h9548;     #13;    iRdy =1'b0;
5891
        #13;    iDi[15:0] =16'h9549;     #13;    iRdy =1'b1;
5892
        #13;    iDi[15:0] =16'h954A;     #13;    iRdy =1'b0;
5893
        #13;    iDi[15:0] =16'h954B;     #13;    iRdy =1'b1;
5894
        #13;    iDi[15:0] =16'h954C;     #13;    iRdy =1'b0;
5895
        #13;    iDi[15:0] =16'h954D;     #13;    iRdy =1'b1;
5896
        #13;    iDi[15:0] =16'h954E;     #13;    iRdy =1'b0;
5897
        #13;    iDi[15:0] =16'h954F;     #13;    iRdy =1'b1;
5898
        #13;    iDi[15:0] =16'h9550;     #13;    iRdy =1'b0;
5899
        #13;    iDi[15:0] =16'h9551;     #13;    iRdy =1'b1;
5900
        #13;    iDi[15:0] =16'h9552;     #13;    iRdy =1'b0;
5901
        #13;    iDi[15:0] =16'h9553;     #13;    iRdy =1'b1;
5902
        #13;    iDi[15:0] =16'h9554;     #13;    iRdy =1'b0;
5903
        #13;    iDi[15:0] =16'h9555;     #13;    iRdy =1'b1;
5904
        #13;    iDi[15:0] =16'h9556;     #13;    iRdy =1'b0;
5905
        #13;    iDi[15:0] =16'h9557;     #13;    iRdy =1'b1;
5906
        #13;    iDi[15:0] =16'h9558;     #13;    iRdy =1'b0;
5907
        #13;    iDi[15:0] =16'h9559;     #13;    iRdy =1'b1;
5908
        #13;    iDi[15:0] =16'h955A;     #13;    iRdy =1'b0;
5909
        #13;    iDi[15:0] =16'h955B;     #13;    iRdy =1'b1;
5910
        #13;    iDi[15:0] =16'h955C;     #13;    iRdy =1'b0;
5911
        #13;    iDi[15:0] =16'h955D;     #13;    iRdy =1'b1;
5912
        #13;    iDi[15:0] =16'h955E;     #13;    iRdy =1'b0;
5913
        #13;    iDi[15:0] =16'h955F;     #13;    iRdy =1'b1;
5914
        #13;    iDi[15:0] =16'h9560;     #13;    iRdy =1'b0;
5915
        #13;    iDi[15:0] =16'h9561;     #13;    iRdy =1'b1;
5916
        #13;    iDi[15:0] =16'h9562;     #13;    iRdy =1'b0;
5917
        #13;    iDi[15:0] =16'h9563;     #13;    iRdy =1'b1;
5918
        #13;    iDi[15:0] =16'h9564;     #13;    iRdy =1'b0;
5919
        #13;    iDi[15:0] =16'h9565;     #13;    iRdy =1'b1;
5920
        #13;    iDi[15:0] =16'h9566;     #13;    iRdy =1'b0;
5921
        #13;    iDi[15:0] =16'h9567;     #13;    iRdy =1'b1;
5922
        #13;    iDi[15:0] =16'h9568;     #13;    iRdy =1'b0;
5923
        #13;    iDi[15:0] =16'h9569;     #13;    iRdy =1'b1;
5924
        #13;    iDi[15:0] =16'h956A;     #13;    iRdy =1'b0;
5925
        #13;    iDi[15:0] =16'h956B;     #13;    iRdy =1'b1;
5926
        #13;    iDi[15:0] =16'h956C;     #13;    iRdy =1'b0;
5927
        #13;    iDi[15:0] =16'h956D;     #13;    iRdy =1'b1;
5928
        #13;    iDi[15:0] =16'h956E;     #13;    iRdy =1'b0;
5929
        #13;    iDi[15:0] =16'h956F;     #13;    iRdy =1'b1;
5930
        #13;    iDi[15:0] =16'h9570;     #13;    iRdy =1'b0;
5931
        #13;    iDi[15:0] =16'h9571;     #13;    iRdy =1'b1;
5932
        #13;    iDi[15:0] =16'h9572;     #13;    iRdy =1'b0;
5933
        #13;    iDi[15:0] =16'h9573;     #13;    iRdy =1'b1;
5934
        #13;    iDi[15:0] =16'h9574;     #13;    iRdy =1'b0;
5935
        #13;    iDi[15:0] =16'h9575;     #13;    iRdy =1'b1;
5936
        #13;    iDi[15:0] =16'h9576;     #13;    iRdy =1'b0;
5937
        #13;    iDi[15:0] =16'h9577;     #13;    iRdy =1'b1;
5938
        #13;    iDi[15:0] =16'h9578;     #13;    iRdy =1'b0;
5939
        #13;    iDi[15:0] =16'h9579;     #13;    iRdy =1'b1;
5940
        #13;    iDi[15:0] =16'h957A;     #13;    iRdy =1'b0;
5941
        #13;    iDi[15:0] =16'h957B;     #13;    iRdy =1'b1;
5942
        #13;    iDi[15:0] =16'h957C;     #13;    iRdy =1'b0;
5943
        #13;    iDi[15:0] =16'h957D;     #13;    iRdy =1'b1;
5944
        #13;    iDi[15:0] =16'h957E;     #13;    iRdy =1'b0;
5945
        #13;    iDi[15:0] =16'h957F;     #13;    iRdy =1'b1;
5946
        #13;    iDi[15:0] =16'h9580;     #13;    iRdy =1'b0;
5947
        #13;    iDi[15:0] =16'h9581;     #13;    iRdy =1'b1;
5948
        #13;    iDi[15:0] =16'h9582;     #13;    iRdy =1'b0;
5949
        #13;    iDi[15:0] =16'h9583;     #13;    iRdy =1'b1;
5950
        #13;    iDi[15:0] =16'h9584;     #13;    iRdy =1'b0;
5951
        #13;    iDi[15:0] =16'h9585;     #13;    iRdy =1'b1;
5952
        #13;    iDi[15:0] =16'h9586;     #13;    iRdy =1'b0;
5953
        #13;    iDi[15:0] =16'h9587;     #13;    iRdy =1'b1;
5954
        #13;    iDi[15:0] =16'h9588;     #13;    iRdy =1'b0;
5955
        #13;    iDi[15:0] =16'h9589;     #13;    iRdy =1'b1;
5956
        #13;    iDi[15:0] =16'h958A;     #13;    iRdy =1'b0;
5957
        #13;    iDi[15:0] =16'h958B;     #13;    iRdy =1'b1;
5958
        #13;    iDi[15:0] =16'h958C;     #13;    iRdy =1'b0;
5959
        #13;    iDi[15:0] =16'h958D;     #13;    iRdy =1'b1;
5960
        #13;    iDi[15:0] =16'h958E;     #13;    iRdy =1'b0;
5961
        #13;    iDi[15:0] =16'h958F;     #13;    iRdy =1'b1;
5962
        #13;    iDi[15:0] =16'h9590;     #13;    iRdy =1'b0;
5963
        #13;    iDi[15:0] =16'h9591;     #13;    iRdy =1'b1;
5964
        #13;    iDi[15:0] =16'h9592;     #13;    iRdy =1'b0;
5965
        #13;    iDi[15:0] =16'h9593;     #13;    iRdy =1'b1;
5966
        #13;    iDi[15:0] =16'h9594;     #13;    iRdy =1'b0;
5967
        #13;    iDi[15:0] =16'h9595;     #13;    iRdy =1'b1;
5968
        #13;    iDi[15:0] =16'h9596;     #13;    iRdy =1'b0;
5969
        #13;    iDi[15:0] =16'h9597;     #13;    iRdy =1'b1;
5970
        #13;    iDi[15:0] =16'h9598;     #13;    iRdy =1'b0;
5971
        #13;    iDi[15:0] =16'h9599;     #13;    iRdy =1'b1;
5972
        #13;    iDi[15:0] =16'h959A;     #13;    iRdy =1'b0;
5973
        #13;    iDi[15:0] =16'h959B;     #13;    iRdy =1'b1;
5974
        #13;    iDi[15:0] =16'h959C;     #13;    iRdy =1'b0;
5975
        #13;    iDi[15:0] =16'h959D;     #13;    iRdy =1'b1;
5976
        #13;    iDi[15:0] =16'h959E;     #13;    iRdy =1'b0;
5977
        #13;    iDi[15:0] =16'h959F;     #13;    iRdy =1'b1;
5978
        #13;    iDi[15:0] =16'h95A0;     #13;    iRdy =1'b0;
5979
        #13;    iDi[15:0] =16'h95A1;     #13;    iRdy =1'b1;
5980
        #13;    iDi[15:0] =16'h95A2;     #13;    iRdy =1'b0;
5981
        #13;    iDi[15:0] =16'h95A3;     #13;    iRdy =1'b1;
5982
        #13;    iDi[15:0] =16'h95A4;     #13;    iRdy =1'b0;
5983
        #13;    iDi[15:0] =16'h95A5;     #13;    iRdy =1'b1;
5984
        #13;    iDi[15:0] =16'h95A6;     #13;    iRdy =1'b0;
5985
        #13;    iDi[15:0] =16'h95A7;     #13;    iRdy =1'b1;
5986
        #13;    iDi[15:0] =16'h95A8;     #13;    iRdy =1'b0;
5987
        #13;    iDi[15:0] =16'h95A9;     #13;    iRdy =1'b1;
5988
        #13;    iDi[15:0] =16'h95AA;     #13;    iRdy =1'b0;
5989
        #13;    iDi[15:0] =16'h95AB;     #13;    iRdy =1'b1;
5990
        #13;    iDi[15:0] =16'h95AC;     #13;    iRdy =1'b0;
5991
        #13;    iDi[15:0] =16'h95AD;     #13;    iRdy =1'b1;
5992
        #13;    iDi[15:0] =16'h95AE;     #13;    iRdy =1'b0;
5993
        #13;    iDi[15:0] =16'h95AF;     #13;    iRdy =1'b1;
5994
        #13;    iDi[15:0] =16'h95B0;     #13;    iRdy =1'b0;
5995
        #13;    iDi[15:0] =16'h95B1;     #13;    iRdy =1'b1;
5996
        #13;    iDi[15:0] =16'h95B2;     #13;    iRdy =1'b0;
5997
        #13;    iDi[15:0] =16'h95B3;     #13;    iRdy =1'b1;
5998
        #13;    iDi[15:0] =16'h95B4;     #13;    iRdy =1'b0;
5999
        #13;    iDi[15:0] =16'h95B5;     #13;    iRdy =1'b1;
6000
        #13;    iDi[15:0] =16'h95B6;     #13;    iRdy =1'b0;
6001
        #13;    iDi[15:0] =16'h95B7;     #13;    iRdy =1'b1;
6002
        #13;    iDi[15:0] =16'h95B8;     #13;    iRdy =1'b0;
6003
        #13;    iDi[15:0] =16'h95B9;     #13;    iRdy =1'b1;
6004
        #13;    iDi[15:0] =16'h95BA;     #13;    iRdy =1'b0;
6005
        #13;    iDi[15:0] =16'h95BB;     #13;    iRdy =1'b1;
6006
        #13;    iDi[15:0] =16'h95BC;     #13;    iRdy =1'b0;
6007
        #13;    iDi[15:0] =16'h95BD;     #13;    iRdy =1'b1;
6008
        #13;    iDi[15:0] =16'h95BE;     #13;    iRdy =1'b0;
6009
        #13;    iDi[15:0] =16'h95BF;     #13;    iRdy =1'b1;
6010
        #13;    iDi[15:0] =16'h95C0;     #13;    iRdy =1'b0;
6011
        #13;    iDi[15:0] =16'h95C1;     #13;    iRdy =1'b1;
6012
        #13;    iDi[15:0] =16'h95C2;     #13;    iRdy =1'b0;
6013
        #13;    iDi[15:0] =16'h95C3;     #13;    iRdy =1'b1;
6014
        #13;    iDi[15:0] =16'h95C4;     #13;    iRdy =1'b0;
6015
        #13;    iDi[15:0] =16'h95C5;     #13;    iRdy =1'b1;
6016
        #13;    iDi[15:0] =16'h95C6;     #13;    iRdy =1'b0;
6017
        #13;    iDi[15:0] =16'h95C7;     #13;    iRdy =1'b1;
6018
        #13;    iDi[15:0] =16'h95C8;     #13;    iRdy =1'b0;
6019
        #13;    iDi[15:0] =16'h95C9;     #13;    iRdy =1'b1;
6020
        #13;    iDi[15:0] =16'h95CA;     #13;    iRdy =1'b0;
6021
        #13;    iDi[15:0] =16'h95CB;     #13;    iRdy =1'b1;
6022
        #13;    iDi[15:0] =16'h95CC;     #13;    iRdy =1'b0;
6023
        #13;    iDi[15:0] =16'h95CD;     #13;    iRdy =1'b1;
6024
        #13;    iDi[15:0] =16'h95CE;     #13;    iRdy =1'b0;
6025
        #13;    iDi[15:0] =16'h95CF;     #13;    iRdy =1'b1;
6026
        #13;    iDi[15:0] =16'h95D0;     #13;    iRdy =1'b0;
6027
        #13;    iDi[15:0] =16'h95D1;     #13;    iRdy =1'b1;
6028
        #13;    iDi[15:0] =16'h95D2;     #13;    iRdy =1'b0;
6029
        #13;    iDi[15:0] =16'h95D3;     #13;    iRdy =1'b1;
6030
        #13;    iDi[15:0] =16'h95D4;     #13;    iRdy =1'b0;
6031
        #13;    iDi[15:0] =16'h95D5;     #13;    iRdy =1'b1;
6032
        #13;    iDi[15:0] =16'h95D6;     #13;    iRdy =1'b0;
6033
        #13;    iDi[15:0] =16'h95D7;     #13;    iRdy =1'b1;
6034
        #13;    iDi[15:0] =16'h95D8;     #13;    iRdy =1'b0;
6035
        #13;    iDi[15:0] =16'h95D9;     #13;    iRdy =1'b1;
6036
        #13;    iDi[15:0] =16'h95DA;     #13;    iRdy =1'b0;
6037
        #13;    iDi[15:0] =16'h95DB;     #13;    iRdy =1'b1;
6038
        #13;    iDi[15:0] =16'h95DC;     #13;    iRdy =1'b0;
6039
        #13;    iDi[15:0] =16'h95DD;     #13;    iRdy =1'b1;
6040
        #13;    iDi[15:0] =16'h95DE;     #13;    iRdy =1'b0;
6041
        #13;    iDi[15:0] =16'h95DF;     #13;    iRdy =1'b1;
6042
        #13;    iDi[15:0] =16'h95E0;     #13;    iRdy =1'b0;
6043
        #13;    iDi[15:0] =16'h95E1;     #13;    iRdy =1'b1;
6044
        #13;    iDi[15:0] =16'h95E2;     #13;    iRdy =1'b0;
6045
        #13;    iDi[15:0] =16'h95E3;     #13;    iRdy =1'b1;
6046
        #13;    iDi[15:0] =16'h95E4;     #13;    iRdy =1'b0;
6047
        #13;    iDi[15:0] =16'h95E5;     #13;    iRdy =1'b1;
6048
        #13;    iDi[15:0] =16'h95E6;     #13;    iRdy =1'b0;
6049
        #13;    iDi[15:0] =16'h95E7;     #13;    iRdy =1'b1;
6050
        #13;    iDi[15:0] =16'h95E8;     #13;    iRdy =1'b0;
6051
        #13;    iDi[15:0] =16'h95E9;     #13;    iRdy =1'b1;
6052
        #13;    iDi[15:0] =16'h95EA;     #13;    iRdy =1'b0;
6053
        #13;    iDi[15:0] =16'h95EB;     #13;    iRdy =1'b1;
6054
        #13;    iDi[15:0] =16'h95EC;     #13;    iRdy =1'b0;
6055
        #13;    iDi[15:0] =16'h95ED;     #13;    iRdy =1'b1;
6056
        #13;    iDi[15:0] =16'h95EE;     #13;    iRdy =1'b0;
6057
        #13;    iDi[15:0] =16'h95EF;     #13;    iRdy =1'b1;
6058
        #13;    iDi[15:0] =16'h95F0;     #13;    iRdy =1'b0;
6059
        #13;    iDi[15:0] =16'h95F1;     #13;    iRdy =1'b1;
6060
        #13;    iDi[15:0] =16'h95F2;     #13;    iRdy =1'b0;
6061
        #13;    iDi[15:0] =16'h95F3;     #13;    iRdy =1'b1;
6062
        #13;    iDi[15:0] =16'h95F4;     #13;    iRdy =1'b0;
6063
        #13;    iDi[15:0] =16'h95F5;     #13;    iRdy =1'b1;
6064
        #13;    iDi[15:0] =16'h95F6;     #13;    iRdy =1'b0;
6065
        #13;    iDi[15:0] =16'h95F7;     #13;    iRdy =1'b1;
6066
        #13;    iDi[15:0] =16'h95F8;     #13;    iRdy =1'b0;
6067
        #13;    iDi[15:0] =16'h95F9;     #13;    iRdy =1'b1;
6068
        #13;    iDi[15:0] =16'h95FA;     #13;    iRdy =1'b0;
6069
        #13;    iDi[15:0] =16'h95FB;     #13;    iRdy =1'b1;
6070
        #13;    iDi[15:0] =16'h95FC;     #13;    iRdy =1'b0;
6071
        #13;    iDi[15:0] =16'h95FD;     #13;    iRdy =1'b1;
6072
        #13;    iDi[15:0] =16'h95FE;     #13;    iRdy =1'b0;
6073
        #13;    iDi[15:0] =16'h95FF;     #13;    iRdy =1'b1;
6074
        #13;    iDi[15:0] =16'h9600;     #13;    iRdy =1'b0;
6075
        #13;    iDi[15:0] =16'h9601;     #13;    iRdy =1'b1;
6076
        #13;    iDi[15:0] =16'h9602;     #13;    iRdy =1'b0;
6077
        #13;    iDi[15:0] =16'h9603;     #13;    iRdy =1'b1;
6078
        #13;    iDi[15:0] =16'h9604;     #13;    iRdy =1'b0;
6079
        #13;    iDi[15:0] =16'h9605;     #13;    iRdy =1'b1;
6080
        #13;    iDi[15:0] =16'h9606;     #13;    iRdy =1'b0;
6081
        #13;    iDi[15:0] =16'h9607;     #13;    iRdy =1'b1;
6082
        #13;    iDi[15:0] =16'h9608;     #13;    iRdy =1'b0;
6083
        #13;    iDi[15:0] =16'h9609;     #13;    iRdy =1'b1;
6084
        #13;    iDi[15:0] =16'h960A;     #13;    iRdy =1'b0;
6085
        #13;    iDi[15:0] =16'h960B;     #13;    iRdy =1'b1;
6086
        #13;    iDi[15:0] =16'h960C;     #13;    iRdy =1'b0;
6087
        #13;    iDi[15:0] =16'h960D;     #13;    iRdy =1'b1;
6088
        #13;    iDi[15:0] =16'h960E;     #13;    iRdy =1'b0;
6089
        #13;    iDi[15:0] =16'h960F;     #13;    iRdy =1'b1;
6090
        #13;    iDi[15:0] =16'h9610;     #13;    iRdy =1'b0;
6091
        #13;    iDi[15:0] =16'h9611;     #13;    iRdy =1'b1;
6092
        #13;    iDi[15:0] =16'h9612;     #13;    iRdy =1'b0;
6093
        #13;    iDi[15:0] =16'h9613;     #13;    iRdy =1'b1;
6094
        #13;    iDi[15:0] =16'h9614;     #13;    iRdy =1'b0;
6095
        #13;    iDi[15:0] =16'h9615;     #13;    iRdy =1'b1;
6096
        #13;    iDi[15:0] =16'h9616;     #13;    iRdy =1'b0;
6097
        #13;    iDi[15:0] =16'h9617;     #13;    iRdy =1'b1;
6098
        #13;    iDi[15:0] =16'h9618;     #13;    iRdy =1'b0;
6099
        #13;    iDi[15:0] =16'h9619;     #13;    iRdy =1'b1;
6100
        #13;    iDi[15:0] =16'h961A;     #13;    iRdy =1'b0;
6101
        #13;    iDi[15:0] =16'h961B;     #13;    iRdy =1'b1;
6102
        #13;    iDi[15:0] =16'h961C;     #13;    iRdy =1'b0;
6103
        #13;    iDi[15:0] =16'h961D;     #13;    iRdy =1'b1;
6104
        #13;    iDi[15:0] =16'h961E;     #13;    iRdy =1'b0;
6105
        #13;    iDi[15:0] =16'h961F;     #13;    iRdy =1'b1;
6106
        #13;    iDi[15:0] =16'h9620;     #13;    iRdy =1'b0;
6107
        #13;    iDi[15:0] =16'h9621;     #13;    iRdy =1'b1;
6108
        #13;    iDi[15:0] =16'h9622;     #13;    iRdy =1'b0;
6109
        #13;    iDi[15:0] =16'h9623;     #13;    iRdy =1'b1;
6110
        #13;    iDi[15:0] =16'h9624;     #13;    iRdy =1'b0;
6111
        #13;    iDi[15:0] =16'h9625;     #13;    iRdy =1'b1;
6112
        #13;    iDi[15:0] =16'h9626;     #13;    iRdy =1'b0;
6113
        #13;    iDi[15:0] =16'h9627;     #13;    iRdy =1'b1;
6114
        #13;    iDi[15:0] =16'h9628;     #13;    iRdy =1'b0;
6115
        #13;    iDi[15:0] =16'h9629;     #13;    iRdy =1'b1;
6116
        #13;    iDi[15:0] =16'h962A;     #13;    iRdy =1'b0;
6117
        #13;    iDi[15:0] =16'h962B;     #13;    iRdy =1'b1;
6118
        #13;    iDi[15:0] =16'h962C;     #13;    iRdy =1'b0;
6119
        #13;    iDi[15:0] =16'h962D;     #13;    iRdy =1'b1;
6120
        #13;    iDi[15:0] =16'h962E;     #13;    iRdy =1'b0;
6121
        #13;    iDi[15:0] =16'h962F;     #13;    iRdy =1'b1;
6122
        #13;    iDi[15:0] =16'h9630;     #13;    iRdy =1'b0;
6123
        #13;    iDi[15:0] =16'h9631;     #13;    iRdy =1'b1;
6124
        #13;    iDi[15:0] =16'h9632;     #13;    iRdy =1'b0;
6125
        #13;    iDi[15:0] =16'h9633;     #13;    iRdy =1'b1;
6126
        #13;    iDi[15:0] =16'h9634;     #13;    iRdy =1'b0;
6127
        #13;    iDi[15:0] =16'h9635;     #13;    iRdy =1'b1;
6128
        #13;    iDi[15:0] =16'h9636;     #13;    iRdy =1'b0;
6129
        #13;    iDi[15:0] =16'h9637;     #13;    iRdy =1'b1;
6130
        #13;    iDi[15:0] =16'h9638;     #13;    iRdy =1'b0;
6131
        #13;    iDi[15:0] =16'h9639;     #13;    iRdy =1'b1;
6132
        #13;    iDi[15:0] =16'h963A;     #13;    iRdy =1'b0;
6133
        #13;    iDi[15:0] =16'h963B;     #13;    iRdy =1'b1;
6134
        #13;    iDi[15:0] =16'h963C;     #13;    iRdy =1'b0;
6135
        #13;    iDi[15:0] =16'h963D;     #13;    iRdy =1'b1;
6136
        #13;    iDi[15:0] =16'h963E;     #13;    iRdy =1'b0;
6137
        #13;    iDi[15:0] =16'h963F;     #13;    iRdy =1'b1;
6138
        #13;    iDi[15:0] =16'h9640;     #13;    iRdy =1'b0;
6139
        #13;    iDi[15:0] =16'h9641;     #13;    iRdy =1'b1;
6140
        #13;    iDi[15:0] =16'h9642;     #13;    iRdy =1'b0;
6141
        #13;    iDi[15:0] =16'h9643;     #13;    iRdy =1'b1;
6142
        #13;    iDi[15:0] =16'h9644;     #13;    iRdy =1'b0;
6143
        #13;    iDi[15:0] =16'h9645;     #13;    iRdy =1'b1;
6144
        #13;    iDi[15:0] =16'h9646;     #13;    iRdy =1'b0;
6145
        #13;    iDi[15:0] =16'h9647;     #13;    iRdy =1'b1;
6146
        #13;    iDi[15:0] =16'h9648;     #13;    iRdy =1'b0;
6147
        #13;    iDi[15:0] =16'h9649;     #13;    iRdy =1'b1;
6148
        #13;    iDi[15:0] =16'h964A;     #13;    iRdy =1'b0;
6149
        #13;    iDi[15:0] =16'h964B;     #13;    iRdy =1'b1;
6150
        #13;    iDi[15:0] =16'h964C;     #13;    iRdy =1'b0;
6151
        #13;    iDi[15:0] =16'h964D;     #13;    iRdy =1'b1;
6152
        #13;    iDi[15:0] =16'h964E;     #13;    iRdy =1'b0;
6153
        #13;    iDi[15:0] =16'h964F;     #13;    iRdy =1'b1;
6154
        #13;    iDi[15:0] =16'h9650;     #13;    iRdy =1'b0;
6155
        #13;    iDi[15:0] =16'h9651;     #13;    iRdy =1'b1;
6156
        #13;    iDi[15:0] =16'h9652;     #13;    iRdy =1'b0;
6157
        #13;    iDi[15:0] =16'h9653;     #13;    iRdy =1'b1;
6158
        #13;    iDi[15:0] =16'h9654;     #13;    iRdy =1'b0;
6159
        #13;    iDi[15:0] =16'h9655;     #13;    iRdy =1'b1;
6160
        #13;    iDi[15:0] =16'h9656;     #13;    iRdy =1'b0;
6161
        #13;    iDi[15:0] =16'h9657;     #13;    iRdy =1'b1;
6162
        #13;    iDi[15:0] =16'h9658;     #13;    iRdy =1'b0;
6163
        #13;    iDi[15:0] =16'h9659;     #13;    iRdy =1'b1;
6164
        #13;    iDi[15:0] =16'h965A;     #13;    iRdy =1'b0;
6165
        #13;    iDi[15:0] =16'h965B;     #13;    iRdy =1'b1;
6166
        #13;    iDi[15:0] =16'h965C;     #13;    iRdy =1'b0;
6167
        #13;    iDi[15:0] =16'h965D;     #13;    iRdy =1'b1;
6168
        #13;    iDi[15:0] =16'h965E;     #13;    iRdy =1'b0;
6169
        #13;    iDi[15:0] =16'h965F;     #13;    iRdy =1'b1;
6170
        #13;    iDi[15:0] =16'h9660;     #13;    iRdy =1'b0;
6171
        #13;    iDi[15:0] =16'h9661;     #13;    iRdy =1'b1;
6172
        #13;    iDi[15:0] =16'h9662;     #13;    iRdy =1'b0;
6173
        #13;    iDi[15:0] =16'h9663;     #13;    iRdy =1'b1;
6174
        #13;    iDi[15:0] =16'h9664;     #13;    iRdy =1'b0;
6175
        #13;    iDi[15:0] =16'h9665;     #13;    iRdy =1'b1;
6176
        #13;    iDi[15:0] =16'h9666;     #13;    iRdy =1'b0;
6177
        #13;    iDi[15:0] =16'h9667;     #13;    iRdy =1'b1;
6178
        #13;    iDi[15:0] =16'h9668;     #13;    iRdy =1'b0;
6179
        #13;    iDi[15:0] =16'h9669;     #13;    iRdy =1'b1;
6180
        #13;    iDi[15:0] =16'h966A;     #13;    iRdy =1'b0;
6181
        #13;    iDi[15:0] =16'h966B;     #13;    iRdy =1'b1;
6182
        #13;    iDi[15:0] =16'h966C;     #13;    iRdy =1'b0;
6183
        #13;    iDi[15:0] =16'h966D;     #13;    iRdy =1'b1;
6184
        #13;    iDi[15:0] =16'h966E;     #13;    iRdy =1'b0;
6185
        #13;    iDi[15:0] =16'h966F;     #13;    iRdy =1'b1;
6186
        #13;    iDi[15:0] =16'h9670;     #13;    iRdy =1'b0;
6187
        #13;    iDi[15:0] =16'h9671;     #13;    iRdy =1'b1;
6188
        #13;    iDi[15:0] =16'h9672;     #13;    iRdy =1'b0;
6189
        #13;    iDi[15:0] =16'h9673;     #13;    iRdy =1'b1;
6190
        #13;    iDi[15:0] =16'h9674;     #13;    iRdy =1'b0;
6191
        #13;    iDi[15:0] =16'h9675;     #13;    iRdy =1'b1;
6192
        #13;    iDi[15:0] =16'h9676;     #13;    iRdy =1'b0;
6193
        #13;    iDi[15:0] =16'h9677;     #13;    iRdy =1'b1;
6194
        #13;    iDi[15:0] =16'h9678;     #13;    iRdy =1'b0;
6195
        #13;    iDi[15:0] =16'h9679;     #13;    iRdy =1'b1;
6196
        #13;    iDi[15:0] =16'h967A;     #13;    iRdy =1'b0;
6197
        #13;    iDi[15:0] =16'h967B;     #13;    iRdy =1'b1;
6198
        #13;    iDi[15:0] =16'h967C;     #13;    iRdy =1'b0;
6199
        #13;    iDi[15:0] =16'h967D;     #13;    iRdy =1'b1;
6200
        #13;    iDi[15:0] =16'h967E;     #13;    iRdy =1'b0;
6201
        #13;    iDi[15:0] =16'h967F;     #13;    iRdy =1'b1;
6202
        #13;    iDi[15:0] =16'h9680;     #13;    iRdy =1'b0;
6203
        #13;    iDi[15:0] =16'h9681;     #13;    iRdy =1'b1;
6204
        #13;    iDi[15:0] =16'h9682;     #13;    iRdy =1'b0;
6205
        #13;    iDi[15:0] =16'h9683;     #13;    iRdy =1'b1;
6206
        #13;    iDi[15:0] =16'h9684;     #13;    iRdy =1'b0;
6207
        #13;    iDi[15:0] =16'h9685;     #13;    iRdy =1'b1;
6208
        #13;    iDi[15:0] =16'h9686;     #13;    iRdy =1'b0;
6209
        #13;    iDi[15:0] =16'h9687;     #13;    iRdy =1'b1;
6210
        #13;    iDi[15:0] =16'h9688;     #13;    iRdy =1'b0;
6211
        #13;    iDi[15:0] =16'h9689;     #13;    iRdy =1'b1;
6212
        #13;    iDi[15:0] =16'h968A;     #13;    iRdy =1'b0;
6213
        #13;    iDi[15:0] =16'h968B;     #13;    iRdy =1'b1;
6214
        #13;    iDi[15:0] =16'h968C;     #13;    iRdy =1'b0;
6215
        #13;    iDi[15:0] =16'h968D;     #13;    iRdy =1'b1;
6216
        #13;    iDi[15:0] =16'h968E;     #13;    iRdy =1'b0;
6217
        #13;    iDi[15:0] =16'h968F;     #13;    iRdy =1'b1;
6218
        #13;    iDi[15:0] =16'h9690;     #13;    iRdy =1'b0;
6219
        #13;    iDi[15:0] =16'h9691;     #13;    iRdy =1'b1;
6220
        #13;    iDi[15:0] =16'h9692;     #13;    iRdy =1'b0;
6221
        #13;    iDi[15:0] =16'h9693;     #13;    iRdy =1'b1;
6222
        #13;    iDi[15:0] =16'h9694;     #13;    iRdy =1'b0;
6223
        #13;    iDi[15:0] =16'h9695;     #13;    iRdy =1'b1;
6224
        #13;    iDi[15:0] =16'h9696;     #13;    iRdy =1'b0;
6225
        #13;    iDi[15:0] =16'h9697;     #13;    iRdy =1'b1;
6226
        #13;    iDi[15:0] =16'h9698;     #13;    iRdy =1'b0;
6227
        #13;    iDi[15:0] =16'h9699;     #13;    iRdy =1'b1;
6228
        #13;    iDi[15:0] =16'h969A;     #13;    iRdy =1'b0;
6229
        #13;    iDi[15:0] =16'h969B;     #13;    iRdy =1'b1;
6230
        #13;    iDi[15:0] =16'h969C;     #13;    iRdy =1'b0;
6231
        #13;    iDi[15:0] =16'h969D;     #13;    iRdy =1'b1;
6232
        #13;    iDi[15:0] =16'h969E;     #13;    iRdy =1'b0;
6233
        #13;    iDi[15:0] =16'h969F;     #13;    iRdy =1'b1;
6234
        #13;    iDi[15:0] =16'h96A0;     #13;    iRdy =1'b0;
6235
        #13;    iDi[15:0] =16'h96A1;     #13;    iRdy =1'b1;
6236
        #13;    iDi[15:0] =16'h96A2;     #13;    iRdy =1'b0;
6237
        #13;    iDi[15:0] =16'h96A3;     #13;    iRdy =1'b1;
6238
        #13;    iDi[15:0] =16'h96A4;     #13;    iRdy =1'b0;
6239
        #13;    iDi[15:0] =16'h96A5;     #13;    iRdy =1'b1;
6240
        #13;    iDi[15:0] =16'h96A6;     #13;    iRdy =1'b0;
6241
        #13;    iDi[15:0] =16'h96A7;     #13;    iRdy =1'b1;
6242
        #13;    iDi[15:0] =16'h96A8;     #13;    iRdy =1'b0;
6243
        #13;    iDi[15:0] =16'h96A9;     #13;    iRdy =1'b1;
6244
        #13;    iDi[15:0] =16'h96AA;     #13;    iRdy =1'b0;
6245
        #13;    iDi[15:0] =16'h96AB;     #13;    iRdy =1'b1;
6246
        #13;    iDi[15:0] =16'h96AC;     #13;    iRdy =1'b0;
6247
        #13;    iDi[15:0] =16'h96AD;     #13;    iRdy =1'b1;
6248
        #13;    iDi[15:0] =16'h96AE;     #13;    iRdy =1'b0;
6249
        #13;    iDi[15:0] =16'h96AF;     #13;    iRdy =1'b1;
6250
        #13;    iDi[15:0] =16'h96B0;     #13;    iRdy =1'b0;
6251
        #13;    iDi[15:0] =16'h96B1;     #13;    iRdy =1'b1;
6252
        #13;    iDi[15:0] =16'h96B2;     #13;    iRdy =1'b0;
6253
        #13;    iDi[15:0] =16'h96B3;     #13;    iRdy =1'b1;
6254
        #13;    iDi[15:0] =16'h96B4;     #13;    iRdy =1'b0;
6255
        #13;    iDi[15:0] =16'h96B5;     #13;    iRdy =1'b1;
6256
        #13;    iDi[15:0] =16'h96B6;     #13;    iRdy =1'b0;
6257
        #13;    iDi[15:0] =16'h96B7;     #13;    iRdy =1'b1;
6258
        #13;    iDi[15:0] =16'h96B8;     #13;    iRdy =1'b0;
6259
        #13;    iDi[15:0] =16'h96B9;     #13;    iRdy =1'b1;
6260
        #13;    iDi[15:0] =16'h96BA;     #13;    iRdy =1'b0;
6261
        #13;    iDi[15:0] =16'h96BB;     #13;    iRdy =1'b1;
6262
        #13;    iDi[15:0] =16'h96BC;     #13;    iRdy =1'b0;
6263
        #13;    iDi[15:0] =16'h96BD;     #13;    iRdy =1'b1;
6264
        #13;    iDi[15:0] =16'h96BE;     #13;    iRdy =1'b0;
6265
        #13;    iDi[15:0] =16'h96BF;     #13;    iRdy =1'b1;
6266
        #13;    iDi[15:0] =16'h96C0;     #13;    iRdy =1'b0;
6267
        #13;    iDi[15:0] =16'h96C1;     #13;    iRdy =1'b1;
6268
        #13;    iDi[15:0] =16'h96C2;     #13;    iRdy =1'b0;
6269
        #13;    iDi[15:0] =16'h96C3;     #13;    iRdy =1'b1;
6270
        #13;    iDi[15:0] =16'h96C4;     #13;    iRdy =1'b0;
6271
        #13;    iDi[15:0] =16'h96C5;     #13;    iRdy =1'b1;
6272
        #13;    iDi[15:0] =16'h96C6;     #13;    iRdy =1'b0;
6273
        #13;    iDi[15:0] =16'h96C7;     #13;    iRdy =1'b1;
6274
        #13;    iDi[15:0] =16'h96C8;     #13;    iRdy =1'b0;
6275
        #13;    iDi[15:0] =16'h96C9;     #13;    iRdy =1'b1;
6276
        #13;    iDi[15:0] =16'h96CA;     #13;    iRdy =1'b0;
6277
        #13;    iDi[15:0] =16'h96CB;     #13;    iRdy =1'b1;
6278
        #13;    iDi[15:0] =16'h96CC;     #13;    iRdy =1'b0;
6279
        #13;    iDi[15:0] =16'h96CD;     #13;    iRdy =1'b1;
6280
        #13;    iDi[15:0] =16'h96CE;     #13;    iRdy =1'b0;
6281
        #13;    iDi[15:0] =16'h96CF;     #13;    iRdy =1'b1;
6282
        #13;    iDi[15:0] =16'h96D0;     #13;    iRdy =1'b0;
6283
        #13;    iDi[15:0] =16'h96D1;     #13;    iRdy =1'b1;
6284
        #13;    iDi[15:0] =16'h96D2;     #13;    iRdy =1'b0;
6285
        #13;    iDi[15:0] =16'h96D3;     #13;    iRdy =1'b1;
6286
        #13;    iDi[15:0] =16'h96D4;     #13;    iRdy =1'b0;
6287
        #13;    iDi[15:0] =16'h96D5;     #13;    iRdy =1'b1;
6288
        #13;    iDi[15:0] =16'h96D6;     #13;    iRdy =1'b0;
6289
        #13;    iDi[15:0] =16'h96D7;     #13;    iRdy =1'b1;
6290
        #13;    iDi[15:0] =16'h96D8;     #13;    iRdy =1'b0;
6291
        #13;    iDi[15:0] =16'h96D9;     #13;    iRdy =1'b1;
6292
        #13;    iDi[15:0] =16'h96DA;     #13;    iRdy =1'b0;
6293
        #13;    iDi[15:0] =16'h96DB;     #13;    iRdy =1'b1;
6294
        #13;    iDi[15:0] =16'h96DC;     #13;    iRdy =1'b0;
6295
        #13;    iDi[15:0] =16'h96DD;     #13;    iRdy =1'b1;
6296
        #13;    iDi[15:0] =16'h96DE;     #13;    iRdy =1'b0;
6297
        #13;    iDi[15:0] =16'h96DF;     #13;    iRdy =1'b1;
6298
        #13;    iDi[15:0] =16'h96E0;     #13;    iRdy =1'b0;
6299
        #13;    iDi[15:0] =16'h96E1;     #13;    iRdy =1'b1;
6300
        #13;    iDi[15:0] =16'h96E2;     #13;    iRdy =1'b0;
6301
        #13;    iDi[15:0] =16'h96E3;     #13;    iRdy =1'b1;
6302
        #13;    iDi[15:0] =16'h96E4;     #13;    iRdy =1'b0;
6303
        #13;    iDi[15:0] =16'h96E5;     #13;    iRdy =1'b1;
6304
        #13;    iDi[15:0] =16'h96E6;     #13;    iRdy =1'b0;
6305
        #13;    iDi[15:0] =16'h96E7;     #13;    iRdy =1'b1;
6306
        #13;    iDi[15:0] =16'h96E8;     #13;    iRdy =1'b0;
6307
        #13;    iDi[15:0] =16'h96E9;     #13;    iRdy =1'b1;
6308
        #13;    iDi[15:0] =16'h96EA;     #13;    iRdy =1'b0;
6309
        #13;    iDi[15:0] =16'h96EB;     #13;    iRdy =1'b1;
6310
        #13;    iDi[15:0] =16'h96EC;     #13;    iRdy =1'b0;
6311
        #13;    iDi[15:0] =16'h96ED;     #13;    iRdy =1'b1;
6312
        #13;    iDi[15:0] =16'h96EE;     #13;    iRdy =1'b0;
6313
        #13;    iDi[15:0] =16'h96EF;     #13;    iRdy =1'b1;
6314
        #13;    iDi[15:0] =16'h96F0;     #13;    iRdy =1'b0;
6315
        #13;    iDi[15:0] =16'h96F1;     #13;    iRdy =1'b1;
6316
        #13;    iDi[15:0] =16'h96F2;     #13;    iRdy =1'b0;
6317
        #13;    iDi[15:0] =16'h96F3;     #13;    iRdy =1'b1;
6318
        #13;    iDi[15:0] =16'h96F4;     #13;    iRdy =1'b0;
6319
        #13;    iDi[15:0] =16'h96F5;     #13;    iRdy =1'b1;
6320
        #13;    iDi[15:0] =16'h96F6;     #13;    iRdy =1'b0;
6321
        #13;    iDi[15:0] =16'h96F7;     #13;    iRdy =1'b1;
6322
        #13;    iDi[15:0] =16'h96F8;     #13;    iRdy =1'b0;
6323
        #13;    iDi[15:0] =16'h96F9;     #13;    iRdy =1'b1;
6324
        #13;    iDi[15:0] =16'h96FA;     #13;    iRdy =1'b0;
6325
        #13;    iDi[15:0] =16'h96FB;     #13;    iRdy =1'b1;
6326
        #13;    iDi[15:0] =16'h96FC;     #13;    iRdy =1'b0;
6327
        #13;    iDi[15:0] =16'h96FD;     #13;    iRdy =1'b1;
6328
        #13;    iDi[15:0] =16'h96FE;     #13;    iRdy =1'b0;
6329
        #13;    iDi[15:0] =16'h96FF;     #13;    iRdy =1'b1;
6330
        #13;    iDi[15:0] =16'h9700;     #13;    iRdy =1'b0;
6331
        #13;    iDi[15:0] =16'h9701;     #13;    iRdy =1'b1;
6332
        #13;    iDi[15:0] =16'h9702;     #13;    iRdy =1'b0;
6333
        #13;    iDi[15:0] =16'h9703;     #13;    iRdy =1'b1;
6334
        #13;    iDi[15:0] =16'h9704;     #13;    iRdy =1'b0;
6335
        #13;    iDi[15:0] =16'h9705;     #13;    iRdy =1'b1;
6336
        #13;    iDi[15:0] =16'h9706;     #13;    iRdy =1'b0;
6337
        #13;    iDi[15:0] =16'h9707;     #13;    iRdy =1'b1;
6338
        #13;    iDi[15:0] =16'h9708;     #13;    iRdy =1'b0;
6339
        #13;    iDi[15:0] =16'h9709;     #13;    iRdy =1'b1;
6340
        #13;    iDi[15:0] =16'h970A;     #13;    iRdy =1'b0;
6341
        #13;    iDi[15:0] =16'h970B;     #13;    iRdy =1'b1;
6342
        #13;    iDi[15:0] =16'h970C;     #13;    iRdy =1'b0;
6343
        #13;    iDi[15:0] =16'h970D;     #13;    iRdy =1'b1;
6344
        #13;    iDi[15:0] =16'h970E;     #13;    iRdy =1'b0;
6345
        #13;    iDi[15:0] =16'h970F;     #13;    iRdy =1'b1;
6346
        #13;    iDi[15:0] =16'h9710;     #13;    iRdy =1'b0;
6347
        #13;    iDi[15:0] =16'h9711;     #13;    iRdy =1'b1;
6348
        #13;    iDi[15:0] =16'h9712;     #13;    iRdy =1'b0;
6349
        #13;    iDi[15:0] =16'h9713;     #13;    iRdy =1'b1;
6350
        #13;    iDi[15:0] =16'h9714;     #13;    iRdy =1'b0;
6351
        #13;    iDi[15:0] =16'h9715;     #13;    iRdy =1'b1;
6352
        #13;    iDi[15:0] =16'h9716;     #13;    iRdy =1'b0;
6353
        #13;    iDi[15:0] =16'h9717;     #13;    iRdy =1'b1;
6354
        #13;    iDi[15:0] =16'h9718;     #13;    iRdy =1'b0;
6355
        #13;    iDi[15:0] =16'h9719;     #13;    iRdy =1'b1;
6356
        #13;    iDi[15:0] =16'h971A;     #13;    iRdy =1'b0;
6357
        #13;    iDi[15:0] =16'h971B;     #13;    iRdy =1'b1;
6358
        #13;    iDi[15:0] =16'h971C;     #13;    iRdy =1'b0;
6359
        #13;    iDi[15:0] =16'h971D;     #13;    iRdy =1'b1;
6360
        #13;    iDi[15:0] =16'h971E;     #13;    iRdy =1'b0;
6361
        #13;    iDi[15:0] =16'h971F;     #13;    iRdy =1'b1;
6362
        #13;    iDi[15:0] =16'h9720;     #13;    iRdy =1'b0;
6363
        #13;    iDi[15:0] =16'h9721;     #13;    iRdy =1'b1;
6364
        #13;    iDi[15:0] =16'h9722;     #13;    iRdy =1'b0;
6365
        #13;    iDi[15:0] =16'h9723;     #13;    iRdy =1'b1;
6366
        #13;    iDi[15:0] =16'h9724;     #13;    iRdy =1'b0;
6367
        #13;    iDi[15:0] =16'h9725;     #13;    iRdy =1'b1;
6368
        #13;    iDi[15:0] =16'h9726;     #13;    iRdy =1'b0;
6369
        #13;    iDi[15:0] =16'h9727;     #13;    iRdy =1'b1;
6370
        #13;    iDi[15:0] =16'h9728;     #13;    iRdy =1'b0;
6371
        #13;    iDi[15:0] =16'h9729;     #13;    iRdy =1'b1;
6372
        #13;    iDi[15:0] =16'h972A;     #13;    iRdy =1'b0;
6373
        #13;    iDi[15:0] =16'h972B;     #13;    iRdy =1'b1;
6374
        #13;    iDi[15:0] =16'h972C;     #13;    iRdy =1'b0;
6375
        #13;    iDi[15:0] =16'h972D;     #13;    iRdy =1'b1;
6376
        #13;    iDi[15:0] =16'h972E;     #13;    iRdy =1'b0;
6377
        #13;    iDi[15:0] =16'h972F;     #13;    iRdy =1'b1;
6378
        #13;    iDi[15:0] =16'h9730;     #13;    iRdy =1'b0;
6379
        #13;    iDi[15:0] =16'h9731;     #13;    iRdy =1'b1;
6380
        #13;    iDi[15:0] =16'h9732;     #13;    iRdy =1'b0;
6381
        #13;    iDi[15:0] =16'h9733;     #13;    iRdy =1'b1;
6382
        #13;    iDi[15:0] =16'h9734;     #13;    iRdy =1'b0;
6383
        #13;    iDi[15:0] =16'h9735;     #13;    iRdy =1'b1;
6384
        #13;    iDi[15:0] =16'h9736;     #13;    iRdy =1'b0;
6385
        #13;    iDi[15:0] =16'h9737;     #13;    iRdy =1'b1;
6386
        #13;    iDi[15:0] =16'h9738;     #13;    iRdy =1'b0;
6387
        #13;    iDi[15:0] =16'h9739;     #13;    iRdy =1'b1;
6388
        #13;    iDi[15:0] =16'h973A;     #13;    iRdy =1'b0;
6389
        #13;    iDi[15:0] =16'h973B;     #13;    iRdy =1'b1;
6390
        #13;    iDi[15:0] =16'h973C;     #13;    iRdy =1'b0;
6391
        #13;    iDi[15:0] =16'h973D;     #13;    iRdy =1'b1;
6392
        #13;    iDi[15:0] =16'h973E;     #13;    iRdy =1'b0;
6393
        #13;    iDi[15:0] =16'h973F;     #13;    iRdy =1'b1;
6394
        #13;    iDi[15:0] =16'h9740;     #13;    iRdy =1'b0;
6395
        #13;    iDi[15:0] =16'h9741;     #13;    iRdy =1'b1;
6396
        #13;    iDi[15:0] =16'h9742;     #13;    iRdy =1'b0;
6397
        #13;    iDi[15:0] =16'h9743;     #13;    iRdy =1'b1;
6398
        #13;    iDi[15:0] =16'h9744;     #13;    iRdy =1'b0;
6399
        #13;    iDi[15:0] =16'h9745;     #13;    iRdy =1'b1;
6400
        #13;    iDi[15:0] =16'h9746;     #13;    iRdy =1'b0;
6401
        #13;    iDi[15:0] =16'h9747;     #13;    iRdy =1'b1;
6402
        #13;    iDi[15:0] =16'h9748;     #13;    iRdy =1'b0;
6403
        #13;    iDi[15:0] =16'h9749;     #13;    iRdy =1'b1;
6404
        #13;    iDi[15:0] =16'h974A;     #13;    iRdy =1'b0;
6405
        #13;    iDi[15:0] =16'h974B;     #13;    iRdy =1'b1;
6406
        #13;    iDi[15:0] =16'h974C;     #13;    iRdy =1'b0;
6407
        #13;    iDi[15:0] =16'h974D;     #13;    iRdy =1'b1;
6408
        #13;    iDi[15:0] =16'h974E;     #13;    iRdy =1'b0;
6409
        #13;    iDi[15:0] =16'h974F;     #13;    iRdy =1'b1;
6410
        #13;    iDi[15:0] =16'h9750;     #13;    iRdy =1'b0;
6411
        #13;    iDi[15:0] =16'h9751;     #13;    iRdy =1'b1;
6412
        #13;    iDi[15:0] =16'h9752;     #13;    iRdy =1'b0;
6413
        #13;    iDi[15:0] =16'h9753;     #13;    iRdy =1'b1;
6414
        #13;    iDi[15:0] =16'h9754;     #13;    iRdy =1'b0;
6415
        #13;    iDi[15:0] =16'h9755;     #13;    iRdy =1'b1;
6416
        #13;    iDi[15:0] =16'h9756;     #13;    iRdy =1'b0;
6417
        #13;    iDi[15:0] =16'h9757;     #13;    iRdy =1'b1;
6418
        #13;    iDi[15:0] =16'h9758;     #13;    iRdy =1'b0;
6419
        #13;    iDi[15:0] =16'h9759;     #13;    iRdy =1'b1;
6420
        #13;    iDi[15:0] =16'h975A;     #13;    iRdy =1'b0;
6421
        #13;    iDi[15:0] =16'h975B;     #13;    iRdy =1'b1;
6422
        #13;    iDi[15:0] =16'h975C;     #13;    iRdy =1'b0;
6423
        #13;    iDi[15:0] =16'h975D;     #13;    iRdy =1'b1;
6424
        #13;    iDi[15:0] =16'h975E;     #13;    iRdy =1'b0;
6425
        #13;    iDi[15:0] =16'h975F;     #13;    iRdy =1'b1;
6426
        #13;    iDi[15:0] =16'h9760;     #13;    iRdy =1'b0;
6427
        #13;    iDi[15:0] =16'h9761;     #13;    iRdy =1'b1;
6428
        #13;    iDi[15:0] =16'h9762;     #13;    iRdy =1'b0;
6429
        #13;    iDi[15:0] =16'h9763;     #13;    iRdy =1'b1;
6430
        #13;    iDi[15:0] =16'h9764;     #13;    iRdy =1'b0;
6431
        #13;    iDi[15:0] =16'h9765;     #13;    iRdy =1'b1;
6432
        #13;    iDi[15:0] =16'h9766;     #13;    iRdy =1'b0;
6433
        #13;    iDi[15:0] =16'h9767;     #13;    iRdy =1'b1;
6434
        #13;    iDi[15:0] =16'h9768;     #13;    iRdy =1'b0;
6435
        #13;    iDi[15:0] =16'h9769;     #13;    iRdy =1'b1;
6436
        #13;    iDi[15:0] =16'h976A;     #13;    iRdy =1'b0;
6437
        #13;    iDi[15:0] =16'h976B;     #13;    iRdy =1'b1;
6438
        #13;    iDi[15:0] =16'h976C;     #13;    iRdy =1'b0;
6439
        #13;    iDi[15:0] =16'h976D;     #13;    iRdy =1'b1;
6440
        #13;    iDi[15:0] =16'h976E;     #13;    iRdy =1'b0;
6441
        #13;    iDi[15:0] =16'h976F;     #13;    iRdy =1'b1;
6442
        #13;    iDi[15:0] =16'h9770;     #13;    iRdy =1'b0;
6443
        #13;    iDi[15:0] =16'h9771;     #13;    iRdy =1'b1;
6444
        #13;    iDi[15:0] =16'h9772;     #13;    iRdy =1'b0;
6445
        #13;    iDi[15:0] =16'h9773;     #13;    iRdy =1'b1;
6446
        #13;    iDi[15:0] =16'h9774;     #13;    iRdy =1'b0;
6447
        #13;    iDi[15:0] =16'h9775;     #13;    iRdy =1'b1;
6448
        #13;    iDi[15:0] =16'h9776;     #13;    iRdy =1'b0;
6449
        #13;    iDi[15:0] =16'h9777;     #13;    iRdy =1'b1;
6450
        #13;    iDi[15:0] =16'h9778;     #13;    iRdy =1'b0;
6451
        #13;    iDi[15:0] =16'h9779;     #13;    iRdy =1'b1;
6452
        #13;    iDi[15:0] =16'h977A;     #13;    iRdy =1'b0;
6453
        #13;    iDi[15:0] =16'h977B;     #13;    iRdy =1'b1;
6454
        #13;    iDi[15:0] =16'h977C;     #13;    iRdy =1'b0;
6455
        #13;    iDi[15:0] =16'h977D;     #13;    iRdy =1'b1;
6456
        #13;    iDi[15:0] =16'h977E;     #13;    iRdy =1'b0;
6457
        #13;    iDi[15:0] =16'h977F;     #13;    iRdy =1'b1;
6458
        #13;    iDi[15:0] =16'h9780;     #13;    iRdy =1'b0;
6459
        #13;    iDi[15:0] =16'h9781;     #13;    iRdy =1'b1;
6460
        #13;    iDi[15:0] =16'h9782;     #13;    iRdy =1'b0;
6461
        #13;    iDi[15:0] =16'h9783;     #13;    iRdy =1'b1;
6462
        #13;    iDi[15:0] =16'h9784;     #13;    iRdy =1'b0;
6463
        #13;    iDi[15:0] =16'h9785;     #13;    iRdy =1'b1;
6464
        #13;    iDi[15:0] =16'h9786;     #13;    iRdy =1'b0;
6465
        #13;    iDi[15:0] =16'h9787;     #13;    iRdy =1'b1;
6466
        #13;    iDi[15:0] =16'h9788;     #13;    iRdy =1'b0;
6467
        #13;    iDi[15:0] =16'h9789;     #13;    iRdy =1'b1;
6468
        #13;    iDi[15:0] =16'h978A;     #13;    iRdy =1'b0;
6469
        #13;    iDi[15:0] =16'h978B;     #13;    iRdy =1'b1;
6470
        #13;    iDi[15:0] =16'h978C;     #13;    iRdy =1'b0;
6471
        #13;    iDi[15:0] =16'h978D;     #13;    iRdy =1'b1;
6472
        #13;    iDi[15:0] =16'h978E;     #13;    iRdy =1'b0;
6473
        #13;    iDi[15:0] =16'h978F;     #13;    iRdy =1'b1;
6474
        #13;    iDi[15:0] =16'h9790;     #13;    iRdy =1'b0;
6475
        #13;    iDi[15:0] =16'h9791;     #13;    iRdy =1'b1;
6476
        #13;    iDi[15:0] =16'h9792;     #13;    iRdy =1'b0;
6477
        #13;    iDi[15:0] =16'h9793;     #13;    iRdy =1'b1;
6478
        #13;    iDi[15:0] =16'h9794;     #13;    iRdy =1'b0;
6479
        #13;    iDi[15:0] =16'h9795;     #13;    iRdy =1'b1;
6480
        #13;    iDi[15:0] =16'h9796;     #13;    iRdy =1'b0;
6481
        #13;    iDi[15:0] =16'h9797;     #13;    iRdy =1'b1;
6482
        #13;    iDi[15:0] =16'h9798;     #13;    iRdy =1'b0;
6483
        #13;    iDi[15:0] =16'h9799;     #13;    iRdy =1'b1;
6484
        #13;    iDi[15:0] =16'h979A;     #13;    iRdy =1'b0;
6485
        #13;    iDi[15:0] =16'h979B;     #13;    iRdy =1'b1;
6486
        #13;    iDi[15:0] =16'h979C;     #13;    iRdy =1'b0;
6487
        #13;    iDi[15:0] =16'h979D;     #13;    iRdy =1'b1;
6488
        #13;    iDi[15:0] =16'h979E;     #13;    iRdy =1'b0;
6489
        #13;    iDi[15:0] =16'h979F;     #13;    iRdy =1'b1;
6490
        #13;    iDi[15:0] =16'h97A0;     #13;    iRdy =1'b0;
6491
        #13;    iDi[15:0] =16'h97A1;     #13;    iRdy =1'b1;
6492
        #13;    iDi[15:0] =16'h97A2;     #13;    iRdy =1'b0;
6493
        #13;    iDi[15:0] =16'h97A3;     #13;    iRdy =1'b1;
6494
        #13;    iDi[15:0] =16'h97A4;     #13;    iRdy =1'b0;
6495
        #13;    iDi[15:0] =16'h97A5;     #13;    iRdy =1'b1;
6496
        #13;    iDi[15:0] =16'h97A6;     #13;    iRdy =1'b0;
6497
        #13;    iDi[15:0] =16'h97A7;     #13;    iRdy =1'b1;
6498
        #13;    iDi[15:0] =16'h97A8;     #13;    iRdy =1'b0;
6499
        #13;    iDi[15:0] =16'h97A9;     #13;    iRdy =1'b1;
6500
        #13;    iDi[15:0] =16'h97AA;     #13;    iRdy =1'b0;
6501
        #13;    iDi[15:0] =16'h97AB;     #13;    iRdy =1'b1;
6502
        #13;    iDi[15:0] =16'h97AC;     #13;    iRdy =1'b0;
6503
        #13;    iDi[15:0] =16'h97AD;     #13;    iRdy =1'b1;
6504
        #13;    iDi[15:0] =16'h97AE;     #13;    iRdy =1'b0;
6505
        #13;    iDi[15:0] =16'h97AF;     #13;    iRdy =1'b1;
6506
        #13;    iDi[15:0] =16'h97B0;     #13;    iRdy =1'b0;
6507
        #13;    iDi[15:0] =16'h97B1;     #13;    iRdy =1'b1;
6508
        #13;    iDi[15:0] =16'h97B2;     #13;    iRdy =1'b0;
6509
        #13;    iDi[15:0] =16'h97B3;     #13;    iRdy =1'b1;
6510
        #13;    iDi[15:0] =16'h97B4;     #13;    iRdy =1'b0;
6511
        #13;    iDi[15:0] =16'h97B5;     #13;    iRdy =1'b1;
6512
        #13;    iDi[15:0] =16'h97B6;     #13;    iRdy =1'b0;
6513
        #13;    iDi[15:0] =16'h97B7;     #13;    iRdy =1'b1;
6514
        #13;    iDi[15:0] =16'h97B8;     #13;    iRdy =1'b0;
6515
        #13;    iDi[15:0] =16'h97B9;     #13;    iRdy =1'b1;
6516
        #13;    iDi[15:0] =16'h97BA;     #13;    iRdy =1'b0;
6517
        #13;    iDi[15:0] =16'h97BB;     #13;    iRdy =1'b1;
6518
        #13;    iDi[15:0] =16'h97BC;     #13;    iRdy =1'b0;
6519
        #13;    iDi[15:0] =16'h97BD;     #13;    iRdy =1'b1;
6520
        #13;    iDi[15:0] =16'h97BE;     #13;    iRdy =1'b0;
6521
        #13;    iDi[15:0] =16'h97BF;     #13;    iRdy =1'b1;
6522
        #13;    iDi[15:0] =16'h97C0;     #13;    iRdy =1'b0;
6523
        #13;    iDi[15:0] =16'h97C1;     #13;    iRdy =1'b1;
6524
        #13;    iDi[15:0] =16'h97C2;     #13;    iRdy =1'b0;
6525
        #13;    iDi[15:0] =16'h97C3;     #13;    iRdy =1'b1;
6526
        #13;    iDi[15:0] =16'h97C4;     #13;    iRdy =1'b0;
6527
        #13;    iDi[15:0] =16'h97C5;     #13;    iRdy =1'b1;
6528
        #13;    iDi[15:0] =16'h97C6;     #13;    iRdy =1'b0;
6529
        #13;    iDi[15:0] =16'h97C7;     #13;    iRdy =1'b1;
6530
        #13;    iDi[15:0] =16'h97C8;     #13;    iRdy =1'b0;
6531
        #13;    iDi[15:0] =16'h97C9;     #13;    iRdy =1'b1;
6532
        #13;    iDi[15:0] =16'h97CA;     #13;    iRdy =1'b0;
6533
        #13;    iDi[15:0] =16'h97CB;     #13;    iRdy =1'b1;
6534
        #13;    iDi[15:0] =16'h97CC;     #13;    iRdy =1'b0;
6535
        #13;    iDi[15:0] =16'h97CD;     #13;    iRdy =1'b1;
6536
        #13;    iDi[15:0] =16'h97CE;     #13;    iRdy =1'b0;
6537
        #13;    iDi[15:0] =16'h97CF;     #13;    iRdy =1'b1;
6538
        #13;    iDi[15:0] =16'h97D0;     #13;    iRdy =1'b0;
6539
        #13;    iDi[15:0] =16'h97D1;     #13;    iRdy =1'b1;
6540
        #13;    iDi[15:0] =16'h97D2;     #13;    iRdy =1'b0;
6541
        #13;    iDi[15:0] =16'h97D3;     #13;    iRdy =1'b1;
6542
        #13;    iDi[15:0] =16'h97D4;     #13;    iRdy =1'b0;
6543
        #13;    iDi[15:0] =16'h97D5;     #13;    iRdy =1'b1;
6544
        #13;    iDi[15:0] =16'h97D6;     #13;    iRdy =1'b0;
6545
        #13;    iDi[15:0] =16'h97D7;     #13;    iRdy =1'b1;
6546
        #13;    iDi[15:0] =16'h97D8;     #13;    iRdy =1'b0;
6547
        #13;    iDi[15:0] =16'h97D9;     #13;    iRdy =1'b1;
6548
        #13;    iDi[15:0] =16'h97DA;     #13;    iRdy =1'b0;
6549
        #13;    iDi[15:0] =16'h97DB;     #13;    iRdy =1'b1;
6550
        #13;    iDi[15:0] =16'h97DC;     #13;    iRdy =1'b0;
6551
        #13;    iDi[15:0] =16'h97DD;     #13;    iRdy =1'b1;
6552
        #13;    iDi[15:0] =16'h97DE;     #13;    iRdy =1'b0;
6553
        #13;    iDi[15:0] =16'h97DF;     #13;    iRdy =1'b1;
6554
        #13;    iDi[15:0] =16'h97E0;     #13;    iRdy =1'b0;
6555
        #13;    iDi[15:0] =16'h97E1;     #13;    iRdy =1'b1;
6556
        #13;    iDi[15:0] =16'h97E2;     #13;    iRdy =1'b0;
6557
        #13;    iDi[15:0] =16'h97E3;     #13;    iRdy =1'b1;
6558
        #13;    iDi[15:0] =16'h97E4;     #13;    iRdy =1'b0;
6559
        #13;    iDi[15:0] =16'h97E5;     #13;    iRdy =1'b1;
6560
        #13;    iDi[15:0] =16'h97E6;     #13;    iRdy =1'b0;
6561
        #13;    iDi[15:0] =16'h97E7;     #13;    iRdy =1'b1;
6562
        #13;    iDi[15:0] =16'h97E8;     #13;    iRdy =1'b0;
6563
        #13;    iDi[15:0] =16'h97E9;     #13;    iRdy =1'b1;
6564
        #13;    iDi[15:0] =16'h97EA;     #13;    iRdy =1'b0;
6565
        #13;    iDi[15:0] =16'h97EB;     #13;    iRdy =1'b1;
6566
        #13;    iDi[15:0] =16'h97EC;     #13;    iRdy =1'b0;
6567
        #13;    iDi[15:0] =16'h97ED;     #13;    iRdy =1'b1;
6568
        #13;    iDi[15:0] =16'h97EE;     #13;    iRdy =1'b0;
6569
        #13;    iDi[15:0] =16'h97EF;     #13;    iRdy =1'b1;
6570
        #13;    iDi[15:0] =16'h97F0;     #13;    iRdy =1'b0;
6571
        #13;    iDi[15:0] =16'h97F1;     #13;    iRdy =1'b1;
6572
        #13;    iDi[15:0] =16'h97F2;     #13;    iRdy =1'b0;
6573
        #13;    iDi[15:0] =16'h97F3;     #13;    iRdy =1'b1;
6574
 
6575
 
6576
 
6577
//- start of data burst ======================================
6578
        #14;
6579
 
6580
        #414; cDK =1'b1;        // start reading another DMA here
6581
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
6582
        #896; bcRd =1'b1;
6583
        # 70; cDK =1'b0;
6584
        #280; cDK =1'b1;        // start reading another DMA here
6585
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
6586
        #896; bcRd =1'b1;
6587
        # 70; cDK =1'b0;
6588
        #280; cDK =1'b1;        // start reading another DMA here
6589
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
6590
        #896; bcRd =1'b1;
6591
        # 70; cDK =1'b0;
6592
        #280; cDK =1'b1;        // start reading another DMA here
6593
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
6594
        #896; bcRd =1'b1;
6595
        # 70; cDK =1'b0;
6596
 
6597
 
6598
 
6599
 
6600
 
6601
 
6602
        #13;    iDi[15:0] =16'h97F4;     #13;    iRdy =1'b0;
6603
        #13;    iDi[15:0] =16'h97F5;     #13;    iRdy =1'b1;
6604
        #13;    iDi[15:0] =16'h97F6;     #13;    iRdy =1'b0;
6605
        #13;    iDi[15:0] =16'h97F7;     #13;    iRdy =1'b1;
6606
        #13;    iDi[15:0] =16'h97F8;     #13;    iRdy =1'b0;
6607
        #13;    iDi[15:0] =16'h97F9;     #13;    iRdy =1'b1;
6608
        #13;    iDi[15:0] =16'h97FA;     #13;    iRdy =1'b0;
6609
        #13;    iDi[15:0] =16'h97FB;     #13;    iRdy =1'b1;
6610
        #13;    iDi[15:0] =16'h97FC;     #13;    iRdy =1'b0;
6611
        #13;    iDi[15:0] =16'h97FD;     #13;    iRdy =1'b1;
6612
        #13;    iDi[15:0] =16'h97FE;     #13;    iRdy =1'b0;
6613
        #13;    iDi[15:0] =16'h97FF;     #13;    iRdy =1'b1;
6614
        #28;    iDi[15:0] =16'hZZZZ;
6615
        #56;    iDQ =1'b0;
6616
 
6617
//// ==============read memory blocks
6618
 
6619
        #414; cDK =1'b1;        // start reading another DMA here
6620
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
6621
        #896; bcRd =1'b1;
6622
        # 70; cDK =1'b0;
6623
        #280; cDK =1'b1;        // start reading another DMA here
6624
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
6625
        #896; bcRd =1'b1;
6626
        # 70; cDK =1'b0;
6627
        #280; cDK =1'b1;        // start reading another DMA here
6628
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
6629
        #896; bcRd =1'b1;
6630
        # 70; cDK =1'b0;
6631
        #280; cDK =1'b1;        // start reading another DMA here
6632
        # 14; bcRd =1'b0;       // the read pulse is 896 ns =75 + 504 +
6633
        #896; bcRd =1'b1;
6634
        # 70; cDK =1'b0;
6635
 
6636
 
6637
 
6638
 
6639
 
6640
//      end process tb;
6641
 
6642
 
6643
 
6644
//END;
6645
 
6646
 
6647
 
6648
 
6649
 
6650
 
6651
 
6652
 
6653
 
6654
 
6655
 
6656
 
6657
 
6658
        $finish;
6659
        end
6660
 
6661
endmodule
6662
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.