OpenCores
URL https://opencores.org/ocsvn/descore/descore/trunk

Subversion Repositories descore

[/] [descore/] [trunk/] [rtl/] [des_round.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 entactogen
 
2
-- Copyright (c) 2013 Antonio de la Piedra
3
 
4
-- This program is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
 
9
-- This program is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
16
 
17 2 entactogen
library IEEE;
18
use IEEE.STD_LOGIC_1164.ALL;
19
 
20
entity des_round is
21
        port(clk : in std_logic;
22
                  l_0 : in std_logic_vector(31 downto 0);
23
                  r_0 : in std_logic_vector(31 downto 0);
24
                  k_i : in std_logic_vector(47 downto 0);
25
                  l_1 : out std_logic_vector(31 downto 0);
26
                  r_1 : out std_logic_vector(31 downto 0));
27
end des_round;
28
 
29
architecture Behavioral of des_round is
30
 
31
        component f_fun is
32
                port(clk : in std_logic;
33
                          r_in : in std_logic_vector(31 downto 0);
34
                          k_in : in std_logic_vector(47 downto 0);
35
                          r_out : out std_logic_vector(31 downto 0));
36
        end component;
37
 
38
        component dsp_xor is
39
                port (clk     : in std_logic;
40
                                op_1      : in std_logic_vector(31 downto 0);
41
                                op_2      : in std_logic_vector(31 downto 0);
42
                                op_3      : out std_logic_vector(31 downto 0));
43
        end component;
44
 
45
        signal f_out_s : std_logic_vector(31 downto 0);
46
 
47
begin
48
 
49
        F_FUN_0 : f_fun port map (clk, r_0, k_i, f_out_s);
50
 
51
        l_1 <= r_0;
52
        r_1 <= l_0 xor f_out_s;
53
 
54
end Behavioral;
55
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.