OpenCores
URL https://opencores.org/ocsvn/dma_ahb/dma_ahb/trunk

Subversion Repositories dma_ahb

[/] [dma_ahb/] [trunk/] [src/] [dma_ahb64/] [dma_ahb64_dual_core.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 eyalhoc
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  Author: Eyal Hochberg                                      ////
4
////          eyal@provartec.com                                 ////
5
////                                                             ////
6
////  Downloaded from: http://www.opencores.org                  ////
7
/////////////////////////////////////////////////////////////////////
8
////                                                             ////
9
//// Copyright (C) 2010 Provartec LTD                            ////
10
//// www.provartec.com                                           ////
11
//// info@provartec.com                                          ////
12
////                                                             ////
13
//// This source file may be used and distributed without        ////
14
//// restriction provided that this copyright statement is not   ////
15
//// removed from the file and that any derivative work contains ////
16
//// the original copyright notice and the associated disclaimer.////
17
////                                                             ////
18
//// This source file is free software; you can redistribute it  ////
19
//// and/or modify it under the terms of the GNU Lesser General  ////
20
//// Public License as published by the Free Software Foundation.////
21
////                                                             ////
22
//// This source is distributed in the hope that it will be      ////
23
//// useful, but WITHOUT ANY WARRANTY; without even the implied  ////
24
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR     ////
25
//// PURPOSE.  See the GNU Lesser General Public License for more////
26
//// details. http://www.gnu.org/licenses/lgpl.html              ////
27
////                                                             ////
28
/////////////////////////////////////////////////////////////////////
29 2 eyalhoc
//---------------------------------------------------------
30
//-- File generated by RobustVerilog parser
31
//-- Version: 1.0
32
//-- Invoked Fri Mar 25 23:32:58 2011
33
//--
34
//-- Source file: dma_dual_core.v
35
//---------------------------------------------------------
36
 
37
 
38
 
39
module dma_ahb64_dual_core(clk,reset,scan_en,idle,INT,periph_tx_req,periph_tx_clr,periph_rx_req,periph_rx_clr,pclken,psel,penable,paddr,pwrite,pwdata,prdata,pslverr,pready,rd_port_num0,wr_port_num0,rd_port_num1,wr_port_num1,M0_WHADDR,M0_WHBURST,M0_WHSIZE,M0_WHTRANS,M0_WHWDATA,M0_WHREADY,M0_WHRESP,M0_RHADDR,M0_RHBURST,M0_RHSIZE,M0_RHTRANS,M0_RHRDATA,M0_RHREADY,M0_RHRESP,M0_WHLAST,M0_WHOLD,M0_RHLAST,M0_RHOLD);
40
 
41
   input                   clk;
42
   input                   reset;
43
   input                   scan_en;
44
 
45
   output                   idle;
46
   output [1-1:0]                  INT;
47
 
48
   input [31:1]               periph_tx_req;
49
   output [31:1]               periph_tx_clr;
50
   input [31:1]               periph_rx_req;
51
   output [31:1]               periph_rx_clr;
52
 
53
   input                                  pclken;
54
   input                                  psel;
55
   input                                  penable;
56
   input [12:0]                           paddr;
57
   input                                  pwrite;
58
   input [31:0]                           pwdata;
59
   output [31:0]                          prdata;
60
   output                                 pslverr;
61
   output                                 pready;
62
 
63
   output                   rd_port_num0;
64
   output                   wr_port_num0;
65
   output                   rd_port_num1;
66
   output                   wr_port_num1;
67
 
68
   output [32-1:0]           M0_WHADDR;
69
   output [2:0]                           M0_WHBURST;
70
   output [1:0]                           M0_WHSIZE;
71
   output [1:0]                           M0_WHTRANS;
72
   output [64-1:0]           M0_WHWDATA;
73
   input                                  M0_WHREADY;
74
   input                                  M0_WHRESP;
75
   output [32-1:0]           M0_RHADDR;
76
   output [2:0]                           M0_RHBURST;
77
   output [1:0]                           M0_RHSIZE;
78
   output [1:0]                           M0_RHTRANS;
79
   input [64-1:0]            M0_RHRDATA;
80
   input                                  M0_RHREADY;
81
   input                                  M0_RHRESP;
82
   output                                 M0_WHLAST;
83
   input                                  M0_WHOLD;
84
   output                                 M0_RHLAST;
85
   input                                  M0_RHOLD;
86
 
87
 
88
 
89
   wire                   psel0;
90
   wire [31:0]                   prdata0;
91
   wire                   pslverr0;
92
 
93
   wire                   psel1;
94
   wire [31:0]                   prdata1;
95
   wire                   pslverr1;
96
 
97
   wire                   psel_reg;
98
   wire [31:0]                   prdata_reg;
99
   wire                   pslverr_reg;
100
 
101
   wire [8*1-1:0]                  ch_int_all_proc0;
102
 
103
   //outputs of dma_ahb64 reg
104
   wire [1-1:0]                    int_all_proc;
105
   wire [3:0]                   core0_clkdiv;
106
   wire [7:0]                   core0_ch_start;
107
   wire                   joint_mode0;
108
   wire                   joint_remote0;
109
   wire                    rd_prio_top0;
110
   wire                    rd_prio_high0;
111
   wire [2:0]                   rd_prio_top_num0;
112
   wire [2:0]                   rd_prio_high_num0;
113
   wire                    wr_prio_top0;
114
   wire                    wr_prio_high0;
115
   wire [2:0]                   wr_prio_top_num0;
116
   wire [2:0]                   wr_prio_high_num0;
117
   wire [31:1]                   periph_rx_req_reg;
118
   wire [31:1]                   periph_tx_req_reg;
119
 
120
   wire [31:1]                   periph_rx_req0;
121
   wire [31:1]                   periph_tx_req0;
122
   wire [31:1]                   periph_rx_req1;
123
   wire [31:1]                   periph_tx_req1;
124
   wire [31:1]                   periph_rx_clr0;
125
   wire [31:1]                   periph_tx_clr0;
126
   wire [31:1]                   periph_rx_clr1;
127
   wire [31:1]                   periph_tx_clr1;
128
 
129
   wire                   core0_idle;
130
 
131
 
132
 
133
   assign                   idle = core0_idle;
134
 
135
   assign                   INT = int_all_proc;
136
 
137
 
138
   assign                   periph_rx_req0     = periph_rx_req | periph_rx_req_reg;
139
   assign                   periph_tx_req0     = periph_tx_req | periph_tx_req_reg;
140
   assign                   periph_rx_req1     = periph_rx_req0;
141
   assign                   periph_tx_req1     = periph_tx_req0;
142
 
143
   assign                   periph_rx_clr      = periph_rx_clr0 | periph_rx_clr1;
144
   assign                   periph_tx_clr      = periph_tx_clr0 | periph_tx_clr1;
145
 
146
 
147
   assign                   joint_remote0 = joint_mode0 & 0 & 0;
148
 
149
 
150
   dma_ahb64_apb_mux  dma_ahb64_apb_mux (
151
                   .clk(clk),
152
                   .reset(reset),
153
                   .pclken(pclken),
154
                   .psel(psel),
155
                   .penable(penable),
156
                   .pwrite(pwrite),
157
                   .paddr(paddr[12:11]),
158
                   .prdata(prdata),
159
                   .pslverr(pslverr),
160
                   .pready(pready),
161
                   .psel0(psel0),
162
                   .prdata0(prdata0),
163
                   .pslverr0(pslverr0),
164
                   .psel1(psel1),
165
                   .prdata1(prdata1),
166
                   .pslverr1(pslverr1),
167
                   .psel_reg(psel_reg),
168
                   .prdata_reg(prdata_reg),
169
                   .pslverr_reg(pslverr_reg)
170
                   );
171
 
172
 
173
   dma_ahb64_reg  dma_ahb64_reg (
174
               .clk(clk),
175
               .reset(reset),
176
               .pclken(pclken),
177
               .psel(psel_reg),
178
               .penable(penable),
179
               .paddr(paddr[7:0]),
180
               .pwrite(pwrite),
181
               .pwdata(pwdata),
182
               .prdata(prdata_reg),
183
               .pslverr(pslverr_reg),
184
               .core0_idle(core0_idle),
185
               .ch_int_all_proc0(ch_int_all_proc0),
186
               .int_all_proc(int_all_proc),
187
               .core0_clkdiv(core0_clkdiv),
188
               .core0_ch_start(core0_ch_start),
189
               .joint_mode0(joint_mode0),
190
               .rd_prio_top0(rd_prio_top0),
191
               .rd_prio_high0(rd_prio_high0),
192
               .rd_prio_top_num0(rd_prio_top_num0),
193
               .rd_prio_high_num0(rd_prio_high_num0),
194
               .wr_prio_top0(wr_prio_top0),
195
               .wr_prio_high0(wr_prio_high0),
196
               .wr_prio_top_num0(wr_prio_top_num0),
197
               .wr_prio_high_num0(wr_prio_high_num0),
198
               .periph_rx_req_reg(periph_rx_req_reg),
199
               .periph_tx_req_reg(periph_tx_req_reg),
200
               .periph_rx_clr(periph_rx_clr),
201
               .periph_tx_clr(periph_tx_clr)
202
               );
203
 
204
 
205
 
206
   dma_ahb64_core0_top
207
   dma_ahb64_core0_top (
208
 
209
             .clk(clk),
210
             .reset(reset),
211
             .scan_en(scan_en),
212
 
213
             .idle(core0_idle),
214
             .ch_int_all_proc(ch_int_all_proc0),
215
             .ch_start(core0_ch_start),
216
             .clkdiv(core0_clkdiv),
217
 
218
             .periph_tx_req(periph_tx_req0),
219
             .periph_tx_clr(periph_tx_clr0),
220
             .periph_rx_req(periph_rx_req0),
221
             .periph_rx_clr(periph_rx_clr0),
222
 
223
             .pclken(pclken),
224
             .psel(psel0),
225
             .penable(penable),
226
             .paddr(paddr[10:0]),
227
             .pwrite(pwrite),
228
             .pwdata(pwdata),
229
             .prdata(prdata0),
230
             .pslverr(pslverr0),
231
 
232
             .rd_port_num(rd_port_num0),
233
             .wr_port_num(wr_port_num0),
234
 
235
             .joint_mode(joint_mode0),
236
             .joint_remote(joint_remote0),
237
             .rd_prio_top(rd_prio_top0),
238
             .rd_prio_high(rd_prio_high0),
239
             .rd_prio_top_num(rd_prio_top_num0),
240
             .rd_prio_high_num(rd_prio_high_num0),
241
             .wr_prio_top(wr_prio_top0),
242
             .wr_prio_high(wr_prio_high0),
243
             .wr_prio_top_num(wr_prio_top_num0),
244
             .wr_prio_high_num(wr_prio_high_num0),
245
 
246
                     .WHADDR(M0_WHADDR),
247
                     .WHBURST(M0_WHBURST),
248
                     .WHSIZE(M0_WHSIZE),
249
                     .WHTRANS(M0_WHTRANS),
250
                     .WHWDATA(M0_WHWDATA),
251
                     .WHREADY(M0_WHREADY),
252
                     .WHRESP(M0_WHRESP),
253
                     .RHADDR(M0_RHADDR),
254
                     .RHBURST(M0_RHBURST),
255
                     .RHSIZE(M0_RHSIZE),
256
                     .RHTRANS(M0_RHTRANS),
257
                     .RHRDATA(M0_RHRDATA),
258
                     .RHREADY(M0_RHREADY),
259
                     .RHRESP(M0_RHRESP),
260
                     .WHLAST(M0_WHLAST),
261
                     .WHOLD(M0_WHOLD),
262
                     .RHLAST(M0_RHLAST),
263
                     .RHOLD(M0_RHOLD)
264
             );
265
 
266
 
267
 
268
 
269
   prgen_delay #(1) delay_pslverr1 (.clk(clk), .reset(reset), .din(psel1), .dout(pslverr1)); //return error
270
   assign                   prdata1          = {32{1'b0}};
271
 
272
   assign                   periph_rx_clr1   = {31{1'b0}};
273
   assign                   periph_tx_clr1   = {31{1'b0}};
274
 
275
   assign                   rd_port_num1     = 1'b0;
276
   assign                   wr_port_num1     = 1'b0;
277
 
278
 
279
endmodule
280
 
281
 
282
 
283
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.