OpenCores
URL https://opencores.org/ocsvn/dma_axi/dma_axi/trunk

Subversion Repositories dma_axi

[/] [dma_axi/] [trunk/] [src/] [dma_axi32/] [dma_axi32_core0_ch_calc_addr.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eyalhoc
//---------------------------------------------------------
2
//-- File generated by RobustVerilog parser
3
//-- Version: 1.0
4
//-- Invoked Fri Mar 25 23:34:53 2011
5
//--
6
//-- Source file: dma_ch_calc_addr.v
7
//---------------------------------------------------------
8
 
9
 
10
 
11
module dma_axi32_core0_ch_calc_addr(clk,reset,ch_update_d,load_in_prog,load_addr,go_next_line,burst_start,incr,start_addr,frame_width,x_size,burst_size,burst_addr);
12
 
13
 
14
   input             clk;
15
   input             reset;
16
 
17
   input             ch_update_d;
18
   input             load_in_prog;
19
   input [32-1:0]    load_addr;
20
 
21
   input             go_next_line;
22
   input             burst_start;
23
   input             incr;
24
   input [32-1:0]    start_addr;
25
   input [`FRAME_BITS-1:0]  frame_width;
26
   input [`X_BITS-1:0]         x_size;
27
   input [7-1:0]   burst_size;
28
   output [32-1:0]   burst_addr;
29
 
30
 
31
   reg [32-1:0]         burst_addr;
32
 
33
   wire             go_next_line_d;
34
   reg [`FRAME_BITS-1:0]    frame_width_diff_reg;
35
   wire [`FRAME_BITS-1:0]   frame_width_diff;
36
 
37
 
38
 
39
   assign             frame_width_diff = {`FRAME_BITS{1'b0}};
40
   assign             go_next_line_d   = 1'b0;
41
 
42
 
43
   always @(posedge clk or posedge reset)
44
     if (reset)
45
       burst_addr <= #1 {32{1'b0}};
46
     else if (load_in_prog)
47
       burst_addr <= #1 load_addr;
48
     else if (ch_update_d)
49
       burst_addr <= #1 start_addr;
50
     else if (burst_start & incr)
51
       burst_addr <= #1 burst_addr + burst_size;
52
     else if (go_next_line_d & incr)
53
       burst_addr <= #1 burst_addr + frame_width_diff;
54
 
55
 
56
endmodule
57
 
58
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.