OpenCores
URL https://opencores.org/ocsvn/dma_axi/dma_axi/trunk

Subversion Repositories dma_axi

[/] [dma_axi/] [trunk/] [src/] [dma_axi64/] [dma_axi64.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eyalhoc
//---------------------------------------------------------
2
//-- File generated by RobustVerilog parser
3
//-- Version: 1.0
4
//-- Invoked Fri Mar 25 23:36:52 2011
5
//--
6
//-- Source file: dma.v
7
//---------------------------------------------------------
8
 
9
 
10
 
11
module dma_axi64(clk,reset,scan_en,idle,INT,periph_tx_req,periph_tx_clr,periph_rx_req,periph_rx_clr,pclken,psel,penable,paddr,pwrite,pwdata,prdata,pslverr,pready,AWID0,AWADDR0,AWLEN0,AWSIZE0,AWVALID0,AWREADY0,WID0,WDATA0,WSTRB0,WLAST0,WVALID0,WREADY0,BID0,BRESP0,BVALID0,BREADY0,ARID0,ARADDR0,ARLEN0,ARSIZE0,ARVALID0,ARREADY0,RID0,RDATA0,RRESP0,RLAST0,RVALID0,RREADY0);
12
`include "dma_axi64_defines.v"
13
 
14
   input                                clk;
15
   input                 reset;
16
   input                 scan_en;
17
 
18
   output                 idle;
19
   output [1-1:0]                INT;
20
 
21
   input [31:1]             periph_tx_req;
22
   output [31:1]             periph_tx_clr;
23
   input [31:1]             periph_rx_req;
24
   output [31:1]             periph_rx_clr;
25
 
26
   input                                pclken;
27
   input                                psel;
28
   input                                penable;
29
   input [12:0]                         paddr;
30
   input                                pwrite;
31
   input [31:0]                         pwdata;
32
   output [31:0]                        prdata;
33
   output                               pslverr;
34
   output                               pready;
35
 
36
    output [`ID_BITS-1:0]               AWID0;
37
    output [32-1:0]             AWADDR0;
38
    output [`LEN_BITS-1:0]              AWLEN0;
39
    output [`SIZE_BITS-1:0]      AWSIZE0;
40
    output                              AWVALID0;
41
    input                               AWREADY0;
42
    output [`ID_BITS-1:0]               WID0;
43
    output [64-1:0]             WDATA0;
44
    output [64/8-1:0]           WSTRB0;
45
    output                              WLAST0;
46
    output                              WVALID0;
47
    input                               WREADY0;
48
    input [`ID_BITS-1:0]                BID0;
49
    input [1:0]                         BRESP0;
50
    input                               BVALID0;
51
    output                              BREADY0;
52
    output [`ID_BITS-1:0]               ARID0;
53
    output [32-1:0]             ARADDR0;
54
    output [`LEN_BITS-1:0]              ARLEN0;
55
    output [`SIZE_BITS-1:0]      ARSIZE0;
56
    output                              ARVALID0;
57
    input                               ARREADY0;
58
    input [`ID_BITS-1:0]                RID0;
59
    input [64-1:0]              RDATA0;
60
    input [1:0]                         RRESP0;
61
    input                               RLAST0;
62
    input                               RVALID0;
63
    output                              RREADY0;
64
 
65
 
66
   wire                 rd_port_num0;
67
   wire                 wr_port_num0;
68
   wire                 rd_port_num1;
69
   wire                 wr_port_num1;
70
   wire                 slv_rd_port_num0;
71
   wire                 slv_wr_port_num0;
72
   wire                 slv_rd_port_num1;
73
   wire                 slv_wr_port_num1;
74
 
75
   assign M0_AWID = 1'b0;
76
   assign M0_WID  = 1'b0;
77
   assign M0_ARID = 1'b0;
78
 
79
   wire [`ID_BITS-1:0]                  M0_AWID;
80
   wire [32-1:0]           M0_AWADDR;
81
   wire [`LEN_BITS-1:0]                 M0_AWLEN;
82
   wire [`SIZE_BITS-1:0]         M0_AWSIZE;
83
   wire                                 M0_AWVALID;
84
   wire                                 M0_AWREADY;
85
   wire [`ID_BITS-1:0]                  M0_WID;
86
   wire [64-1:0]           M0_WDATA;
87
   wire [64/8-1:0]         M0_WSTRB;
88
   wire                                 M0_WLAST;
89
   wire                                 M0_WVALID;
90
   wire                                 M0_WREADY;
91
   wire [`ID_BITS-1:0]                  M0_BID;
92
   wire [1:0]                           M0_BRESP;
93
   wire                                 M0_BVALID;
94
   wire                                 M0_BREADY;
95
   wire [`ID_BITS-1:0]                  M0_ARID;
96
   wire [32-1:0]           M0_ARADDR;
97
   wire [`LEN_BITS-1:0]                 M0_ARLEN;
98
   wire [`SIZE_BITS-1:0]         M0_ARSIZE;
99
   wire                                 M0_ARVALID;
100
   wire                                 M0_ARREADY;
101
   wire [`ID_BITS-1:0]                  M0_RID;
102
   wire [64-1:0]           M0_RDATA;
103
   wire [1:0]                           M0_RRESP;
104
   wire                                 M0_RLAST;
105
   wire                                 M0_RVALID;
106
   wire                                 M0_RREADY;
107
 
108
 
109
 
110
   wire [31:1]                 periph_tx_req;
111
   wire [31:1]                 periph_rx_req;
112
   wire [31:1]                 periph_tx_clr;
113
   wire [31:1]                 periph_rx_clr;
114
 
115
 
116
 
117
 
118
 
119
 
120
 
121
 
122
   assign                               AWID0  = M0_AWID;
123
   assign                               AWADDR0  = M0_AWADDR;
124
   assign                               AWLEN0  = M0_AWLEN;
125
   assign                               AWSIZE0  = M0_AWSIZE;
126
   assign                               AWVALID0  = M0_AWVALID;
127
   assign                               WID0  = M0_WID;
128
   assign                               WDATA0  = M0_WDATA;
129
   assign                               WSTRB0  = M0_WSTRB;
130
   assign                               WLAST0  = M0_WLAST;
131
   assign                               WVALID0  = M0_WVALID;
132
   assign                               BREADY0  = M0_BREADY;
133
   assign                               ARID0  = M0_ARID;
134
   assign                               ARADDR0  = M0_ARADDR;
135
   assign                               ARLEN0  = M0_ARLEN;
136
   assign                               ARSIZE0  = M0_ARSIZE;
137
   assign                               ARVALID0  = M0_ARVALID;
138
   assign                               RREADY0  = M0_RREADY;
139
   assign                               M0_AWREADY = AWREADY0;
140
   assign                               M0_WREADY = WREADY0;
141
   assign                               M0_BID = BID0;
142
   assign                               M0_BRESP = BRESP0;
143
   assign                               M0_BVALID = BVALID0;
144
   assign                               M0_ARREADY = ARREADY0;
145
   assign                               M0_RID = RID0;
146
   assign                               M0_RDATA = RDATA0;
147
   assign                               M0_RRESP = RRESP0;
148
   assign                               M0_RLAST = RLAST0;
149
   assign                               M0_RVALID = RVALID0;
150
 
151
 
152
 
153
   dma_axi64_dual_core
154
   dma_axi64_dual_core (
155
             .clk(clk),
156
             .reset(reset),
157
             .scan_en(scan_en),
158
 
159
             .idle(idle),
160
             .INT(INT),
161
             .periph_tx_req(periph_tx_req),
162
             .periph_tx_clr(periph_tx_clr),
163
             .periph_rx_req(periph_rx_req),
164
             .periph_rx_clr(periph_rx_clr),
165
                     .pclken(pclken),
166
                     .psel(psel),
167
                     .penable(penable),
168
                     .paddr(paddr),
169
                     .pwrite(pwrite),
170
                     .pwdata(pwdata),
171
                     .prdata(prdata),
172
                     .pslverr(pslverr),
173
                     .pready(pready),
174
 
175
             .rd_port_num0(rd_port_num0),
176
             .wr_port_num0(wr_port_num0),
177
             .rd_port_num1(rd_port_num1),
178
             .wr_port_num1(wr_port_num1),
179
 
180
                     .M0_AWID(M0_AWID),
181
                     .M0_AWADDR(M0_AWADDR),
182
                     .M0_AWLEN(M0_AWLEN),
183
                     .M0_AWSIZE(M0_AWSIZE),
184
                     .M0_AWVALID(M0_AWVALID),
185
                     .M0_AWREADY(M0_AWREADY),
186
                     .M0_WID(M0_WID),
187
                     .M0_WDATA(M0_WDATA),
188
                     .M0_WSTRB(M0_WSTRB),
189
                     .M0_WLAST(M0_WLAST),
190
                     .M0_WVALID(M0_WVALID),
191
                     .M0_WREADY(M0_WREADY),
192
                     .M0_BID(M0_BID),
193
                     .M0_BRESP(M0_BRESP),
194
                     .M0_BVALID(M0_BVALID),
195
                     .M0_BREADY(M0_BREADY),
196
                     .M0_ARID(M0_ARID),
197
                     .M0_ARADDR(M0_ARADDR),
198
                     .M0_ARLEN(M0_ARLEN),
199
                     .M0_ARSIZE(M0_ARSIZE),
200
                     .M0_ARVALID(M0_ARVALID),
201
                     .M0_ARREADY(M0_ARREADY),
202
                     .M0_RID(M0_RID),
203
                     .M0_RDATA(M0_RDATA),
204
                     .M0_RRESP(M0_RRESP),
205
                     .M0_RLAST(M0_RLAST),
206
                     .M0_RVALID(M0_RVALID),
207
                     .M0_RREADY(M0_RREADY)
208
             );
209
 
210
 
211
 
212
endmodule
213
 
214
 
215
 
216
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.