OpenCores
URL https://opencores.org/ocsvn/double_fpu/double_fpu/trunk

Subversion Repositories double_fpu

[/] [double_fpu/] [trunk/] [fpu_div.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 davidklun
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  FPU                                                        ////
4
////  Floating Point Unit (Double precision)                     ////
5
////                                                             ////
6
////  Author: David Lundgren                                     ////
7
////          davidklun@gmail.com                                ////
8
////                                                             ////
9
/////////////////////////////////////////////////////////////////////
10
////                                                             ////
11
//// Copyright (C) 2009 David Lundgren                           ////
12
////                  davidklun@gmail.com                        ////
13
////                                                             ////
14
//// This source file may be used and distributed without        ////
15
//// restriction provided that this copyright statement is not   ////
16
//// removed from the file and that any derivative work contains ////
17
//// the original copyright notice and the associated disclaimer.////
18
////                                                             ////
19
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
20
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
21
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
22
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
23
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
24
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
25
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
26
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
27
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
28
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
29
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
30
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
31
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
32
////                                                             ////
33
/////////////////////////////////////////////////////////////////////
34
 
35
 
36
`timescale 1ns / 100ps
37
 
38
module fpu_div( clk, rst, enable, opa, opb, sign, mantissa_7,
39
exponent_out);
40
input           clk;
41
input           rst;
42
input           enable;
43
input   [63:0]   opa;
44
input   [63:0]   opb;
45
output          sign;
46
output  [55:0] mantissa_7;
47
output  [11:0] exponent_out;
48
 
49
parameter       preset  = 53;
50
 
51
reg [53:0] dividend_reg;
52
reg [53:0] divisor_reg;
53
reg enable_reg;
54
reg enable_reg_2;
55
reg enable_reg_a;
56
reg enable_reg_b;
57
reg enable_reg_c;
58
reg enable_reg_d;
59
reg enable_reg_e;
60
reg [5:0]        dividend_shift;
61
reg [5:0]        dividend_shift_2;
62
reg [5:0]        divisor_shift;
63
reg [5:0]        divisor_shift_2;
64
reg [5:0]        count_out;
65
reg [11:0]  exponent_out;
66
 
67
 
68
wire   sign = opa[63] ^ opb[63];
69
reg [51:0] mantissa_a;
70
reg [51:0] mantissa_b;
71
wire [10:0] expon_a = opa[62:52];
72
wire [10:0] expon_b = opb[62:52];
73
wire    a_is_norm = |expon_a;
74
wire    b_is_norm = |expon_b;
75 10 davidklun
wire    a_is_zero = !(|opa[62:0]);
76 2 davidklun
wire [11:0] exponent_a = { 1'b0, expon_a};
77
wire [11:0] exponent_b = { 1'b0, expon_b};
78
reg [51:0] dividend_a;
79
reg [51:0] dividend_a_shifted;
80
wire [52:0] dividend_denorm = { dividend_a_shifted, 1'b0};
81
wire [53:0]      dividend_1 = a_is_norm ? { 2'b01, dividend_a } : { 1'b0, dividend_denorm};
82
reg [51:0] divisor_b;
83
reg [51:0] divisor_b_shifted;
84
wire [52:0] divisor_denorm = { divisor_b_shifted, 1'b0};
85
wire [53:0]      divisor_1 = b_is_norm ? { 2'b01, divisor_b } : { 1'b0, divisor_denorm};
86
wire [5:0] count_index = count_out;
87
wire count_nonzero = !(count_index == 0);
88
reg [53:0] quotient;
89
reg     [53:0] quotient_out;
90
reg [53:0] remainder;
91
reg [53:0] remainder_out;
92
reg remainder_msb;
93
reg count_nonzero_reg;
94
reg count_nonzero_reg_2;
95
reg [11:0] expon_term;
96
reg expon_uf_1;
97
reg [11:0] expon_uf_term_1;
98
reg [11:0] expon_final_1;
99
reg [11:0] expon_final_2;
100
reg [11:0] expon_shift_a;
101
reg [11:0] expon_shift_b;
102
reg expon_uf_2;
103
reg [11:0] expon_uf_term_2;
104
reg [11:0] expon_uf_term_3;
105
reg expon_uf_gt_maxshift;
106
reg [11:0] expon_uf_term_4;
107
reg [11:0] expon_final_3;
108
reg [11:0] expon_final_4;
109
wire quotient_msb = quotient_out[53];
110
reg expon_final_4_et0;
111
reg expon_final_4_term;
112
reg [11:0] expon_final_5;
113
reg [51:0] mantissa_1;
114
wire [51:0] mantissa_2 = quotient_out[52:1];
115
wire [51:0] mantissa_3 = quotient_out[51:0];
116
wire [51:0] mantissa_4 = quotient_msb ? mantissa_2 : mantissa_3;
117
wire [51:0] mantissa_5 = (expon_final_4 == 1) ? mantissa_2 : mantissa_4;
118
wire [51:0] mantissa_6 = expon_final_4_et0 ? mantissa_1 : mantissa_5;
119
wire [107:0] remainder_a = { quotient_out[53:0] , remainder_msb, remainder_out[52:0]};
120
reg [6:0] remainder_shift_term;
121
reg [107:0] remainder_b;
122
wire [55:0] remainder_1 = remainder_b[107:52];
123
wire [55:0] remainder_2 = { quotient_out[0] , remainder_msb, remainder_out[52:0], 1'b0 };
124
wire [55:0] remainder_3 = { remainder_msb , remainder_out[52:0], 2'b0 };
125
wire [55:0] remainder_4 = quotient_msb ? remainder_2 : remainder_3;
126
wire [55:0] remainder_5 = (expon_final_4 == 1) ? remainder_2 : remainder_4;
127
wire [55:0] remainder_6 = expon_final_4_et0 ? remainder_1 : remainder_5;
128
wire    m_norm = |expon_final_5;
129
wire    rem_lsb = |remainder_6[54:0];
130
wire [55:0] mantissa_7 = { 1'b0, m_norm, mantissa_6, remainder_6[55], rem_lsb };
131
 
132
always @ (posedge clk)
133
begin
134
        if (rst)
135
                exponent_out <= 0;
136
        else
137
                exponent_out <= a_is_zero ? 12'b0 : expon_final_5;
138
end
139
 
140
always @ (posedge clk)
141
begin
142
        if (rst)
143
                count_out <= 0;
144
        else if (enable_reg)
145
                count_out <= preset;
146
        else if (count_nonzero)
147
                count_out <= count_out - 1;
148
end
149
 
150
always @ (posedge clk)
151
begin
152
        if (rst) begin
153
                quotient_out <= 0;
154
                remainder_out <= 0;
155
                end
156
        else begin
157
                quotient_out <= quotient;
158
                remainder_out <= remainder;
159
                end
160
end
161
 
162
 
163
always @ (posedge clk)
164
begin
165
        if (rst)
166
                quotient <= 0;
167
        else if (count_nonzero_reg)
168
                quotient[count_index] <= !(divisor_reg > dividend_reg);
169
end
170
 
171
always @ (posedge clk)
172
begin
173
        if (rst) begin
174
                remainder <= 0;
175
                remainder_msb <= 0;
176
                end
177
        else if (!count_nonzero_reg & count_nonzero_reg_2) begin
178
            remainder <= dividend_reg;
179
                remainder_msb <= (divisor_reg > dividend_reg) ? 0 : 1;
180
                end
181
end
182
 
183
always @ (posedge clk)
184
begin
185
        if (rst) begin
186
                dividend_reg <= 0;
187
                divisor_reg <= 0;
188
                end
189
        else if (enable_reg_e) begin
190
                dividend_reg <= dividend_1;
191
                divisor_reg <= divisor_1;
192
                end
193
        else if (count_nonzero_reg)
194
                dividend_reg <= (divisor_reg > dividend_reg) ? dividend_reg << 1 :
195
                                                (dividend_reg - divisor_reg) << 1;
196
                // divisor doesn't change for the divide
197
end
198
 
199
always @ (posedge clk)
200
begin
201
        if (rst) begin
202
                expon_term  <= 0;
203
                expon_uf_1 <= 0;
204
        expon_uf_term_1 <= 0;
205
        expon_final_1 <= 0;
206
        expon_final_2 <= 0;
207
        expon_shift_a <= 0;
208
        expon_shift_b <= 0;
209
                expon_uf_2 <= 0;
210
        expon_uf_term_2 <= 0;
211
        expon_uf_term_3 <= 0;
212
                expon_uf_gt_maxshift <= 0;
213
        expon_uf_term_4 <= 0;
214
        expon_final_3 <= 0;
215
        expon_final_4 <= 0;
216
                expon_final_4_et0 <= 0;
217
                expon_final_4_term <= 0;
218
        expon_final_5 <= 0;
219
        mantissa_a <= 0;
220
                mantissa_b <= 0;
221
                dividend_a <= 0;
222
                divisor_b <= 0;
223
                dividend_shift_2 <= 0;
224
                divisor_shift_2 <= 0;
225
                remainder_shift_term <= 0;
226
                remainder_b <= 0;
227
                dividend_a_shifted <= 0;
228
                divisor_b_shifted <=  0;
229
                mantissa_1 <= 0;
230
                end
231
        else if (enable_reg_2) begin
232
                expon_term  <= exponent_a + 1023;
233
                expon_uf_1 <= exponent_b > expon_term;
234
        expon_uf_term_1 <= expon_uf_1 ? (exponent_b - expon_term) : 0;
235
        expon_final_1 <= expon_term - exponent_b;
236
        expon_final_2 <= expon_uf_1 ? 0 : expon_final_1;
237
        expon_shift_a <= a_is_norm ? 0 : dividend_shift_2;
238
        expon_shift_b <= b_is_norm ? 0 : divisor_shift_2;
239
                expon_uf_2 <= expon_shift_a > expon_final_2;
240
        expon_uf_term_2 <= expon_uf_2 ? (expon_shift_a - expon_final_2) : 0;
241
        expon_uf_term_3 <= expon_uf_term_2 + expon_uf_term_1;
242
                expon_uf_gt_maxshift <= (expon_uf_term_3 > 51);
243
        expon_uf_term_4 <= expon_uf_gt_maxshift ? 52 : expon_uf_term_3;
244
        expon_final_3 <= expon_uf_2 ? 0 : (expon_final_2 - expon_shift_a);
245
        expon_final_4 <= expon_final_3 + expon_shift_b;
246
                expon_final_4_et0 <= (expon_final_4 == 0);
247
                expon_final_4_term <= expon_final_4_et0 ? 0 : 1;
248
        expon_final_5 <= quotient_msb ? expon_final_4 : expon_final_4 - expon_final_4_term;
249
                mantissa_a <= opa[51:0];
250
                mantissa_b <= opb[51:0];
251
                dividend_a <= mantissa_a;
252
                divisor_b <= mantissa_b;
253
                dividend_shift_2 <= dividend_shift;
254
                divisor_shift_2 <= divisor_shift;
255
                remainder_shift_term <= 52 - expon_uf_term_4;
256
                remainder_b <= remainder_a << remainder_shift_term;
257
                dividend_a_shifted <= dividend_a << dividend_shift_2;
258
                divisor_b_shifted <= divisor_b << divisor_shift_2;
259
                mantissa_1 <= quotient_out[53:2] >> expon_uf_term_4;
260
                end
261
end
262
 
263
always @ (posedge clk)
264
begin
265
        if (rst) begin
266
                count_nonzero_reg <= 0;
267
                count_nonzero_reg_2 <= 0;
268
                enable_reg <= 0;
269
                enable_reg_a <= 0;
270
                enable_reg_b <= 0;
271
                enable_reg_c <= 0;
272
                enable_reg_d <= 0;
273
                enable_reg_e <= 0;
274
                end
275
        else begin
276
                count_nonzero_reg <= count_nonzero;
277
                count_nonzero_reg_2 <= count_nonzero_reg;
278
                enable_reg <= enable_reg_e;
279
                enable_reg_a <= enable;
280
                enable_reg_b <= enable_reg_a;
281
                enable_reg_c <= enable_reg_b;
282
                enable_reg_d <= enable_reg_c;
283
                enable_reg_e <= enable_reg_d;
284
                end
285
end
286
 
287
always @ (posedge clk)
288
begin
289
        if (rst)
290
                enable_reg_2 <= 0;
291
        else if (enable)
292
                enable_reg_2 <= 1;
293
end
294
 
295
 
296
always @(dividend_a)
297
   casex(dividend_a)
298 10 davidklun
    52'b1???????????????????????????????????????????????????: dividend_shift <= 0;
299
    52'b01??????????????????????????????????????????????????: dividend_shift <= 1;
300
    52'b001?????????????????????????????????????????????????: dividend_shift <= 2;
301
    52'b0001????????????????????????????????????????????????: dividend_shift <= 3;
302
    52'b00001???????????????????????????????????????????????: dividend_shift <= 4;
303
    52'b000001??????????????????????????????????????????????: dividend_shift <= 5;
304
    52'b0000001?????????????????????????????????????????????: dividend_shift <= 6;
305
    52'b00000001????????????????????????????????????????????: dividend_shift <= 7;
306
        52'b000000001???????????????????????????????????????????: dividend_shift <= 8;
307
    52'b0000000001??????????????????????????????????????????: dividend_shift <= 9;
308
    52'b00000000001?????????????????????????????????????????: dividend_shift <= 10;
309
    52'b000000000001????????????????????????????????????????: dividend_shift <= 11;
310
    52'b0000000000001???????????????????????????????????????: dividend_shift <= 12;
311
    52'b00000000000001??????????????????????????????????????: dividend_shift <= 13;
312
    52'b000000000000001?????????????????????????????????????: dividend_shift <= 14;
313
    52'b0000000000000001????????????????????????????????????: dividend_shift <= 15;
314
    52'b00000000000000001???????????????????????????????????: dividend_shift <= 16;
315
    52'b000000000000000001??????????????????????????????????: dividend_shift <= 17;
316
    52'b0000000000000000001?????????????????????????????????: dividend_shift <= 18;
317
    52'b00000000000000000001????????????????????????????????: dividend_shift <= 19;
318
    52'b000000000000000000001???????????????????????????????: dividend_shift <= 20;
319
    52'b0000000000000000000001??????????????????????????????: dividend_shift <= 21;
320
    52'b00000000000000000000001?????????????????????????????: dividend_shift <= 22;
321
    52'b000000000000000000000001????????????????????????????: dividend_shift <= 23;
322
    52'b0000000000000000000000001???????????????????????????: dividend_shift <= 24;
323
    52'b00000000000000000000000001??????????????????????????: dividend_shift <= 25;
324
    52'b000000000000000000000000001?????????????????????????: dividend_shift <= 26;
325
    52'b0000000000000000000000000001????????????????????????: dividend_shift <= 27;
326
    52'b00000000000000000000000000001???????????????????????: dividend_shift <= 28;
327
    52'b000000000000000000000000000001??????????????????????: dividend_shift <= 29;
328
    52'b0000000000000000000000000000001?????????????????????: dividend_shift <= 30;
329
    52'b00000000000000000000000000000001????????????????????: dividend_shift <= 31;
330
    52'b000000000000000000000000000000001???????????????????: dividend_shift <= 32;
331
    52'b0000000000000000000000000000000001??????????????????: dividend_shift <= 33;
332
    52'b00000000000000000000000000000000001?????????????????: dividend_shift <= 34;
333
    52'b000000000000000000000000000000000001????????????????: dividend_shift <= 35;
334
    52'b0000000000000000000000000000000000001???????????????: dividend_shift <= 36;
335
    52'b00000000000000000000000000000000000001??????????????: dividend_shift <= 37;
336
    52'b000000000000000000000000000000000000001?????????????: dividend_shift <= 38;
337
    52'b0000000000000000000000000000000000000001????????????: dividend_shift <= 39;
338
    52'b00000000000000000000000000000000000000001???????????: dividend_shift <= 40;
339
    52'b000000000000000000000000000000000000000001??????????: dividend_shift <= 41;
340
    52'b0000000000000000000000000000000000000000001?????????: dividend_shift <= 42;
341
    52'b00000000000000000000000000000000000000000001????????: dividend_shift <= 43;
342
    52'b000000000000000000000000000000000000000000001???????: dividend_shift <= 44;
343
    52'b0000000000000000000000000000000000000000000001??????: dividend_shift <= 45;
344
    52'b00000000000000000000000000000000000000000000001?????: dividend_shift <= 46;
345
    52'b000000000000000000000000000000000000000000000001????: dividend_shift <= 47;
346
    52'b0000000000000000000000000000000000000000000000001???: dividend_shift <= 48;
347
    52'b00000000000000000000000000000000000000000000000001??: dividend_shift <= 49;
348
    52'b000000000000000000000000000000000000000000000000001?: dividend_shift <= 50;
349
    52'b0000000000000000000000000000000000000000000000000001: dividend_shift <= 51;
350
    52'b0000000000000000000000000000000000000000000000000000: dividend_shift <= 52;
351 2 davidklun
 
352
    endcase
353
 
354
always @(divisor_b)
355
   casex(divisor_b)
356 10 davidklun
    52'b1???????????????????????????????????????????????????: divisor_shift <= 0;
357
    52'b01??????????????????????????????????????????????????: divisor_shift <= 1;
358
    52'b001?????????????????????????????????????????????????: divisor_shift <= 2;
359
    52'b0001????????????????????????????????????????????????: divisor_shift <= 3;
360
    52'b00001???????????????????????????????????????????????: divisor_shift <= 4;
361
    52'b000001??????????????????????????????????????????????: divisor_shift <= 5;
362
    52'b0000001?????????????????????????????????????????????: divisor_shift <= 6;
363
    52'b00000001????????????????????????????????????????????: divisor_shift <= 7;
364
        52'b000000001???????????????????????????????????????????: divisor_shift <= 8;
365
    52'b0000000001??????????????????????????????????????????: divisor_shift <= 9;
366
    52'b00000000001?????????????????????????????????????????: divisor_shift <= 10;
367
    52'b000000000001????????????????????????????????????????: divisor_shift <= 11;
368
    52'b0000000000001???????????????????????????????????????: divisor_shift <= 12;
369
    52'b00000000000001??????????????????????????????????????: divisor_shift <= 13;
370
    52'b000000000000001?????????????????????????????????????: divisor_shift <= 14;
371
    52'b0000000000000001????????????????????????????????????: divisor_shift <= 15;
372
    52'b00000000000000001???????????????????????????????????: divisor_shift <= 16;
373
    52'b000000000000000001??????????????????????????????????: divisor_shift <= 17;
374
    52'b0000000000000000001?????????????????????????????????: divisor_shift <= 18;
375
    52'b00000000000000000001????????????????????????????????: divisor_shift <= 19;
376
    52'b000000000000000000001???????????????????????????????: divisor_shift <= 20;
377
    52'b0000000000000000000001??????????????????????????????: divisor_shift <= 21;
378
    52'b00000000000000000000001?????????????????????????????: divisor_shift <= 22;
379
    52'b000000000000000000000001????????????????????????????: divisor_shift <= 23;
380
    52'b0000000000000000000000001???????????????????????????: divisor_shift <= 24;
381
    52'b00000000000000000000000001??????????????????????????: divisor_shift <= 25;
382
    52'b000000000000000000000000001?????????????????????????: divisor_shift <= 26;
383
    52'b0000000000000000000000000001????????????????????????: divisor_shift <= 27;
384
    52'b00000000000000000000000000001???????????????????????: divisor_shift <= 28;
385
    52'b000000000000000000000000000001??????????????????????: divisor_shift <= 29;
386
    52'b0000000000000000000000000000001?????????????????????: divisor_shift <= 30;
387
    52'b00000000000000000000000000000001????????????????????: divisor_shift <= 31;
388
    52'b000000000000000000000000000000001???????????????????: divisor_shift <= 32;
389
    52'b0000000000000000000000000000000001??????????????????: divisor_shift <= 33;
390
    52'b00000000000000000000000000000000001?????????????????: divisor_shift <= 34;
391
    52'b000000000000000000000000000000000001????????????????: divisor_shift <= 35;
392
    52'b0000000000000000000000000000000000001???????????????: divisor_shift <= 36;
393
    52'b00000000000000000000000000000000000001??????????????: divisor_shift <= 37;
394
    52'b000000000000000000000000000000000000001?????????????: divisor_shift <= 38;
395
    52'b0000000000000000000000000000000000000001????????????: divisor_shift <= 39;
396
    52'b00000000000000000000000000000000000000001???????????: divisor_shift <= 40;
397
    52'b000000000000000000000000000000000000000001??????????: divisor_shift <= 41;
398
    52'b0000000000000000000000000000000000000000001?????????: divisor_shift <= 42;
399
    52'b00000000000000000000000000000000000000000001????????: divisor_shift <= 43;
400
    52'b000000000000000000000000000000000000000000001???????: divisor_shift <= 44;
401
    52'b0000000000000000000000000000000000000000000001??????: divisor_shift <= 45;
402
    52'b00000000000000000000000000000000000000000000001?????: divisor_shift <= 46;
403
    52'b000000000000000000000000000000000000000000000001????: divisor_shift <= 47;
404
    52'b0000000000000000000000000000000000000000000000001???: divisor_shift <= 48;
405
    52'b00000000000000000000000000000000000000000000000001??: divisor_shift <= 49;
406
    52'b000000000000000000000000000000000000000000000000001?: divisor_shift <= 50;
407
    52'b0000000000000000000000000000000000000000000000000001: divisor_shift <= 51;
408
    52'b0000000000000000000000000000000000000000000000000000: divisor_shift <= 52;
409 2 davidklun
 
410
    endcase
411
 
412
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.