OpenCores
URL https://opencores.org/ocsvn/dp_pkg/dp_pkg/trunk

Subversion Repositories dp_pkg

[/] [dp_pkg/] [trunk/] [dp_stream_pkg.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
--------------------------------------------------------------------------------
2
--
3
-- Copyright (C) 2010
4
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6
--
7
-- This program is free software: you can redistribute it and/or modify
8
-- it under the terms of the GNU General Public License as published by
9
-- the Free Software Foundation, either version 3 of the License, or
10
-- (at your option) any later version.
11
--
12
-- This program is distributed in the hope that it will be useful,
13
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
14
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
-- GNU General Public License for more details.
16
--
17
-- You should have received a copy of the GNU General Public License
18
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
19
--
20
--------------------------------------------------------------------------------
21
 
22
LIBRARY IEEE, common_pkg_lib;
23
USE IEEE.STD_LOGIC_1164.ALL;
24
USE IEEE.numeric_std.ALL;
25
USE common_pkg_lib.common_pkg.ALL;
26
 
27
PACKAGE dp_stream_pkg Is
28
 
29
  ------------------------------------------------------------------------------
30
  -- General DP stream record defintion
31
  ------------------------------------------------------------------------------
32
 
33
  -- Remarks:
34
  -- * Choose smallest maximum SOSI slv lengths that fit all use cases, because unconstrained record fields slv is not allowed
35
  -- * The large SOSI data field width of 256b has some disadvantages:
36
  --   . about 10% extra simulation time and PC memory usage compared to 72b (measured using tb_unb_tse_board)
37
  --   . a 256b number has 64 hex digits in the Wave window which is awkward because of the leading zeros when typically
38
  --     only 32b are used, fortunately integer representation still works OK (except 0 which is shown as blank).
39
  --   However the alternatives are not attractive, because they affect the implementation of the streaming
40
  --   components that use the SOSI record. Alternatives are e.g.:
41
  --   . define an extra long SOSI data field ldata[255:0] in addition to the existing data[71:0] field
42
  --   . use the array of SOSI records to contain wider data, all with the same SOSI control field values
43
  --   . define another similar SOSI record with data[255:0].
44
  --   Therefore define data width as 256b, because the disadvantages are acceptable and the benefit is great, because all
45
  --   streaming components can remain as they are.
46
  -- * Added sync and bsn to SOSI to have timestamp information with the data
47
  -- * Added re and im to SOSI to support complex data for DSP
48
  -- * The sosi fields can be labeled in diffent groups: ctrl, info and data as shown in comment at the t_dp_sosi definition.
49
  --   This grouping is useful for functions that operate on a t_dp_sosi signal.
50
  -- * The info fields are valid at the sop or at the eop, but typically they hold their last active value to avoid unnessary
51
  --   toggling and to ease viewing in the wave window.
52
  CONSTANT c_dp_stream_bsn_w      : NATURAL :=  64;  -- 64 is sufficient to count blocks of data for years
53
  CONSTANT c_dp_stream_data_w     : NATURAL := 768;  -- 72 is sufficient for max word 8 * 9-bit. 576 supports half rate DDR4 bus data width. The current 768 is enough for wide single clock SLVs (e.g. headers)
54
  CONSTANT c_dp_stream_dsp_data_w : NATURAL :=  64;  -- 64 is sufficient for DSP data, including complex power accumulates
55
  CONSTANT c_dp_stream_empty_w    : NATURAL :=  16;  --  8 is sufficient for max 256 symbols per data word, still use 16 bit to be able to count c_dp_stream_data_w in bits
56
  CONSTANT c_dp_stream_channel_w  : NATURAL :=  32;  -- 32 is sufficient for several levels of hierarchy in mapping types of streams on to channels 
57
  CONSTANT c_dp_stream_error_w    : NATURAL :=  32;  -- 32 is sufficient for several levels of hierarchy in mapping error numbers, e.g. 32 different one-hot encoded errors, bit [0] = 0 = OK
58
 
59
  CONSTANT c_dp_stream_ok         : NATURAL := 0;  -- SOSI err field OK value
60
  CONSTANT c_dp_stream_err        : NATURAL := 1;  -- SOSI err field error value /= OK
61
 
62
  CONSTANT c_dp_stream_rl         : NATURAL := 1;  -- SISO default data path stream ready latency RL = 1
63
 
64
  TYPE t_dp_siso IS RECORD  -- Source In or Sink Out
65
    ready    : STD_LOGIC;   -- fine cycle based flow control using ready latency RL >= 0
66
    xon      : STD_LOGIC;   -- coarse typically block based flow control using xon/xoff
67
  END RECORD;
68
 
69
  TYPE t_dp_sosi IS RECORD  -- Source Out or Sink In
70
    sync     : STD_LOGIC;                                           -- ctrl
71
    bsn      : STD_LOGIC_VECTOR(c_dp_stream_bsn_w-1 DOWNTO 0);      -- info at sop      (block sequence number)
72
    data     : STD_LOGIC_VECTOR(c_dp_stream_data_w-1 DOWNTO 0);     -- data
73
    re       : STD_LOGIC_VECTOR(c_dp_stream_dsp_data_w-1 DOWNTO 0); -- data
74
    im       : STD_LOGIC_VECTOR(c_dp_stream_dsp_data_w-1 DOWNTO 0); -- data
75
    valid    : STD_LOGIC;                                           -- ctrl
76
    sop      : STD_LOGIC;                                           -- ctrl
77
    eop      : STD_LOGIC;                                           -- ctrl
78
    empty    : STD_LOGIC_VECTOR(c_dp_stream_empty_w-1 DOWNTO 0);    -- info at eop
79
    channel  : STD_LOGIC_VECTOR(c_dp_stream_channel_w-1 DOWNTO 0);  -- info at sop
80
    err      : STD_LOGIC_VECTOR(c_dp_stream_error_w-1 DOWNTO 0);    -- info at eop (name field 'err' to avoid the 'error' keyword)
81
  END RECORD;
82
 
83
  -- Initialise signal declarations with c_dp_stream_rst/rdy to ease the interpretation of slv fields with unused bits
84
  CONSTANT c_dp_siso_rst   : t_dp_siso := ('0', '0');
85
  CONSTANT c_dp_siso_x     : t_dp_siso := ('X', 'X');
86
  CONSTANT c_dp_siso_hold  : t_dp_siso := ('0', '1');
87
  CONSTANT c_dp_siso_rdy   : t_dp_siso := ('1', '1');
88
  CONSTANT c_dp_siso_flush : t_dp_siso := ('1', '0');
89
  CONSTANT c_dp_sosi_rst   : t_dp_sosi := ('0', (OTHERS=>'0'), (OTHERS=>'0'), (OTHERS=>'0'), (OTHERS=>'0'), '0', '0', '0', (OTHERS=>'0'), (OTHERS=>'0'), (OTHERS=>'0'));
90
  CONSTANT c_dp_sosi_x     : t_dp_sosi := ('X', (OTHERS=>'X'), (OTHERS=>'X'), (OTHERS=>'X'), (OTHERS=>'X'), 'X', 'X', 'X', (OTHERS=>'X'), (OTHERS=>'X'), (OTHERS=>'X'));
91
 
92
  -- Use integers instead of slv for monitoring purposes (integer range limited to 31 bit plus sign bit)
93
  TYPE t_dp_sosi_integer IS RECORD
94
    sync     : STD_LOGIC;
95
    bsn      : NATURAL;
96
    data     : INTEGER;
97
    re       : INTEGER;
98
    im       : INTEGER;
99
    valid    : STD_LOGIC;
100
    sop      : STD_LOGIC;
101
    eop      : STD_LOGIC;
102
    empty    : NATURAL;
103
    channel  : NATURAL;
104
    err      : NATURAL;
105
  END RECORD;
106
 
107
  -- Use unsigned instead of slv for monitoring purposes beyond the integer range of t_dp_sosi_integer
108
  TYPE t_dp_sosi_unsigned IS RECORD
109
    sync     : STD_LOGIC;
110
    bsn      : UNSIGNED(c_dp_stream_bsn_w-1 DOWNTO 0);
111
    data     : UNSIGNED(c_dp_stream_data_w-1 DOWNTO 0);
112
    re       : UNSIGNED(c_dp_stream_dsp_data_w-1 DOWNTO 0);
113
    im       : UNSIGNED(c_dp_stream_dsp_data_w-1 DOWNTO 0);
114
    valid    : STD_LOGIC;
115
    sop      : STD_LOGIC;
116
    eop      : STD_LOGIC;
117
    empty    : UNSIGNED(c_dp_stream_empty_w-1 DOWNTO 0);
118
    channel  : UNSIGNED(c_dp_stream_channel_w-1 DOWNTO 0);
119
    err      : UNSIGNED(c_dp_stream_error_w-1 DOWNTO 0);
120
  END RECORD;
121
 
122
  CONSTANT c_dp_sosi_unsigned_rst  : t_dp_sosi_unsigned := ('0', (OTHERS=>'0'), (OTHERS=>'0'), (OTHERS=>'0'), (OTHERS=>'0'), '0', '0', '0', (OTHERS=>'0'), (OTHERS=>'0'), (OTHERS=>'0'));
123
  CONSTANT c_dp_sosi_unsigned_ones : t_dp_sosi_unsigned := ('1',
124
                                                            TO_UNSIGNED(1, c_dp_stream_bsn_w),
125
                                                            TO_UNSIGNED(1, c_dp_stream_data_w),
126
                                                            TO_UNSIGNED(1, c_dp_stream_dsp_data_w),
127
                                                            TO_UNSIGNED(1, c_dp_stream_dsp_data_w),
128
                                                            '1', '1', '1',
129
                                                            TO_UNSIGNED(1, c_dp_stream_empty_w),
130
                                                            TO_UNSIGNED(1, c_dp_stream_channel_w),
131
                                                            TO_UNSIGNED(1, c_dp_stream_error_w));
132
 
133
  -- Use boolean to define whether a t_dp_siso, t_dp_sosi field is used ('1') or not ('0')
134
  TYPE t_dp_siso_sl IS RECORD
135
    ready    : STD_LOGIC;
136
    xon      : STD_LOGIC;
137
  END RECORD;
138
 
139
  TYPE t_dp_sosi_sl IS RECORD
140
    sync     : STD_LOGIC;
141
    bsn      : STD_LOGIC;
142
    data     : STD_LOGIC;
143
    re       : STD_LOGIC;
144
    im       : STD_LOGIC;
145
    valid    : STD_LOGIC;
146
    sop      : STD_LOGIC;
147
    eop      : STD_LOGIC;
148
    empty    : STD_LOGIC;
149
    channel  : STD_LOGIC;
150
    err      : STD_LOGIC;
151
  END RECORD;
152
 
153
  CONSTANT c_dp_siso_sl_rst  : t_dp_siso_sl := ('0', '0');
154
  CONSTANT c_dp_siso_sl_ones : t_dp_siso_sl := ('1', '1');
155
  CONSTANT c_dp_sosi_sl_rst  : t_dp_sosi_sl := ('0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0');
156
  CONSTANT c_dp_sosi_sl_ones : t_dp_sosi_sl := ('1', '1', '1', '1', '1', '1', '1', '1', '1', '1', '1');
157
 
158
  -- Multi port or multi register array for DP stream records
159
  TYPE t_dp_siso_arr IS ARRAY (INTEGER RANGE <>) OF t_dp_siso;
160
  TYPE t_dp_sosi_arr IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi;
161
 
162
  TYPE t_dp_sosi_integer_arr  IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_integer;
163
  TYPE t_dp_sosi_unsigned_arr IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_unsigned;
164
 
165
  TYPE t_dp_siso_sl_arr IS ARRAY (INTEGER RANGE <>) OF t_dp_siso_sl;
166
  TYPE t_dp_sosi_sl_arr IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_sl;
167
 
168
  -- Multi port or multi register slv arrays for DP stream records fields
169
  TYPE t_dp_bsn_slv_arr      IS ARRAY (INTEGER RANGE <>) OF STD_LOGIC_VECTOR(c_dp_stream_bsn_w-1 DOWNTO 0);
170
  TYPE t_dp_data_slv_arr     IS ARRAY (INTEGER RANGE <>) OF STD_LOGIC_VECTOR(c_dp_stream_data_w-1 DOWNTO 0);
171
  TYPE t_dp_dsp_data_slv_arr IS ARRAY (INTEGER RANGE <>) OF STD_LOGIC_VECTOR(c_dp_stream_dsp_data_w-1 DOWNTO 0);
172
  TYPE t_dp_empty_slv_arr    IS ARRAY (INTEGER RANGE <>) OF STD_LOGIC_VECTOR(c_dp_stream_empty_w-1 DOWNTO 0);
173
  TYPE t_dp_channel_slv_arr  IS ARRAY (INTEGER RANGE <>) OF STD_LOGIC_VECTOR(c_dp_stream_channel_w-1 DOWNTO 0);
174
  TYPE t_dp_error_slv_arr    IS ARRAY (INTEGER RANGE <>) OF STD_LOGIC_VECTOR(c_dp_stream_error_w-1 DOWNTO 0);
175
 
176
  -- Multi-dimemsion array types with fixed LS-dimension
177
  TYPE t_dp_siso_2arr_1 IS ARRAY (INTEGER RANGE <>) OF t_dp_siso_arr(0 DOWNTO 0);
178
  TYPE t_dp_sosi_2arr_1 IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_arr(0 DOWNTO 0);
179
 
180
  -- . 2 dimensional array with 2 fixed LS sosi/siso interfaces (dp_split, dp_concat)
181
  TYPE t_dp_siso_2arr_2 IS ARRAY (INTEGER RANGE <>) OF t_dp_siso_arr(1 DOWNTO 0);
182
  TYPE t_dp_sosi_2arr_2 IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_arr(1 DOWNTO 0);
183
 
184
  TYPE t_dp_siso_2arr_3 IS ARRAY (INTEGER RANGE <>) OF t_dp_siso_arr(2 DOWNTO 0);
185
  TYPE t_dp_sosi_2arr_3 IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_arr(2 DOWNTO 0);
186
 
187
  TYPE t_dp_siso_2arr_4 IS ARRAY (INTEGER RANGE <>) OF t_dp_siso_arr(3 DOWNTO 0);
188
  TYPE t_dp_sosi_2arr_4 IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_arr(3 DOWNTO 0);
189
 
190
  TYPE t_dp_siso_2arr_8 IS ARRAY (INTEGER RANGE <>) OF t_dp_siso_arr(7 DOWNTO 0);
191
  TYPE t_dp_sosi_2arr_8 IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_arr(7 DOWNTO 0);
192
 
193
  TYPE t_dp_siso_2arr_9 IS ARRAY (INTEGER RANGE <>) OF t_dp_siso_arr(8 DOWNTO 0);
194
  TYPE t_dp_sosi_2arr_9 IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_arr(8 DOWNTO 0);
195
 
196
  TYPE t_dp_siso_2arr_12 IS ARRAY (INTEGER RANGE <>) OF t_dp_siso_arr(11 DOWNTO 0);
197
  TYPE t_dp_sosi_2arr_12 IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_arr(11 DOWNTO 0);
198
 
199
  TYPE t_dp_siso_3arr_4_2 IS ARRAY (INTEGER RANGE <>) OF t_dp_siso_2arr_2(3 DOWNTO 0);
200
  TYPE t_dp_sosi_3arr_4_2 IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_2arr_2(3 DOWNTO 0);
201
 
202
  -- 2-dimensional streaming array type:
203
  -- Note:
204
  --   This t_*_mat is less useful then a t_*_2arr array of arrays, because assignments can only be done per element (i.e. not per row). However for t_*_2arr
205
  --   the arrays dimension must be fixed, so these t_*_2arr types are application dependent and need to be defined where used. 
206
  TYPE t_dp_siso_mat IS ARRAY (INTEGER RANGE <>, INTEGER RANGE <>) OF t_dp_siso;
207
  TYPE t_dp_sosi_mat IS ARRAY (INTEGER RANGE <>, INTEGER RANGE <>) OF t_dp_sosi;
208
 
209
  -- Check sosi.valid against siso.ready
210
  PROCEDURE proc_dp_siso_alert(CONSTANT c_ready_latency : IN    NATURAL;
211
                               SIGNAL   clk             : IN    STD_LOGIC;
212
                               SIGNAL   sosi            : IN    t_dp_sosi;
213
                               SIGNAL   siso            : IN    t_dp_siso;
214
                               SIGNAL   ready_reg       : INOUT STD_LOGIC_VECTOR);
215
 
216
  -- Default RL=1
217
  PROCEDURE proc_dp_siso_alert(SIGNAL   clk             : IN    STD_LOGIC;
218
                               SIGNAL   sosi            : IN    t_dp_sosi;
219
                               SIGNAL   siso            : IN    t_dp_siso;
220
                               SIGNAL   ready_reg       : INOUT STD_LOGIC_VECTOR);
221
 
222
  -- SOSI/SISO array version
223
  PROCEDURE proc_dp_siso_alert(CONSTANT c_ready_latency : IN    NATURAL;
224
                               SIGNAL   clk             : IN    STD_LOGIC;
225
                               SIGNAL   sosi_arr        : IN    t_dp_sosi_arr;
226
                               SIGNAL   siso_arr        : IN    t_dp_siso_arr;
227
                               SIGNAL   ready_reg       : INOUT STD_LOGIC_VECTOR);
228
 
229
  -- SOSI/SISO array version with RL=1
230
  PROCEDURE proc_dp_siso_alert(SIGNAL   clk             : IN    STD_LOGIC;
231
                               SIGNAL   sosi_arr        : IN    t_dp_sosi_arr;
232
                               SIGNAL   siso_arr        : IN    t_dp_siso_arr;
233
                               SIGNAL   ready_reg       : INOUT STD_LOGIC_VECTOR);
234
 
235
  -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
236
  -- . Use these functions to assign sosi data TO a record field
237
  -- . Use the range selection [n-1 DOWNTO 0] to assign sosi data FROM a record field to an slv
238
  -- . The unused sosi data field bits could remain undefined 'X', because the unused bits in the fields are not used at all. 
239
  --   Typically the sosi data are treated as unsigned in the record field, so extended with '0'. However for interpretating
240
  --   signed data in the simulation wave window it is easier to use sign extension in the record field. Therefore TO_DP_SDATA
241
  --   and RESIZE_DP_SDATA are defined as well.
242
  FUNCTION TO_DP_BSN(     n : NATURAL) RETURN STD_LOGIC_VECTOR;
243
  FUNCTION TO_DP_DATA(    n : INTEGER) RETURN STD_LOGIC_VECTOR;  -- use integer to support 32 bit range, so -1 = 0xFFFFFFFF = +2**32-1
244
  FUNCTION TO_DP_SDATA(   n : INTEGER) RETURN STD_LOGIC_VECTOR;  -- use integer to support 32 bit range and signed
245
  FUNCTION TO_DP_UDATA(   n : INTEGER) RETURN STD_LOGIC_VECTOR;  -- alias of TO_DP_DATA()
246
  FUNCTION TO_DP_DSP_DATA(n : INTEGER) RETURN STD_LOGIC_VECTOR;  -- for re and im fields, signed data
247
  FUNCTION TO_DP_DSP_UDATA(n: INTEGER) RETURN STD_LOGIC_VECTOR;  -- for re and im fields, unsigned data (useful to carry indices)
248
  FUNCTION TO_DP_EMPTY(   n : NATURAL) RETURN STD_LOGIC_VECTOR;
249
  FUNCTION TO_DP_CHANNEL( n : NATURAL) RETURN STD_LOGIC_VECTOR;
250
  FUNCTION TO_DP_ERROR(   n : NATURAL) RETURN STD_LOGIC_VECTOR;
251
  FUNCTION RESIZE_DP_BSN(     vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR;
252
  FUNCTION RESIZE_DP_DATA(    vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR;  -- set unused MSBits to '0'
253
  FUNCTION RESIZE_DP_SDATA(   vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR;  -- sign extend unused MSBits
254
  FUNCTION RESIZE_DP_XDATA(   vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR;  -- set unused MSBits to 'X'
255
  FUNCTION RESIZE_DP_DSP_DATA(vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR;  -- sign extend unused MSBits of re and im fields
256
  FUNCTION RESIZE_DP_EMPTY(   vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR;
257
  FUNCTION RESIZE_DP_CHANNEL( vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR;
258
  FUNCTION RESIZE_DP_ERROR(   vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR;
259
 
260
  FUNCTION INCR_DP_DATA(    vec : STD_LOGIC_VECTOR; dec : INTEGER; w : NATURAL) RETURN STD_LOGIC_VECTOR;  -- unsigned vec(w-1:0) + dec
261
  FUNCTION INCR_DP_SDATA(   vec : STD_LOGIC_VECTOR; dec : INTEGER; w : NATURAL) RETURN STD_LOGIC_VECTOR;  --   signed vec(w-1:0) + dec
262
  FUNCTION INCR_DP_DSP_DATA(vec : STD_LOGIC_VECTOR; dec : INTEGER; w : NATURAL) RETURN STD_LOGIC_VECTOR;  --   signed vec(w-1:0) + dec
263
 
264
  FUNCTION REPLICATE_DP_DATA(  seq  : STD_LOGIC_VECTOR                 ) RETURN STD_LOGIC_VECTOR;  -- replicate seq as often as fits in c_dp_stream_data_w
265
  FUNCTION UNREPLICATE_DP_DATA(data : STD_LOGIC_VECTOR; seq_w : NATURAL) RETURN STD_LOGIC_VECTOR;  -- unreplicate data to width seq_w, return low seq_w bits and set mismatch MSbits bits to '1'
266
 
267
  FUNCTION TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : STD_LOGIC; bsn, data, re, im, empty, channel, err : UNSIGNED) RETURN t_dp_sosi_unsigned;
268
 
269
  -- Keep part of head data and combine part of tail data, use the other sosi from head_sosi
270
  FUNCTION func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : NATURAL) RETURN t_dp_sosi;
271
  -- Shift and combine part of previous data and this data, use the other sosi from prev_sosi
272
  FUNCTION func_dp_data_shift(      prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : NATURAL) RETURN t_dp_sosi;
273
  -- Shift part of tail data and account for input empty
274
  FUNCTION func_dp_data_shift_last(            tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : NATURAL) RETURN t_dp_sosi;
275
 
276
  -- Determine resulting empty if two streams are concatenated or split
277
  FUNCTION func_dp_empty_concat(head_empty, tail_empty : STD_LOGIC_VECTOR; nof_symbols_per_data : NATURAL) RETURN STD_LOGIC_VECTOR;
278
  FUNCTION func_dp_empty_split(input_empty, head_empty : STD_LOGIC_VECTOR; nof_symbols_per_data : NATURAL) RETURN STD_LOGIC_VECTOR;
279
 
280
  -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
281
  FUNCTION func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) RETURN t_dp_sosi;
282
 
283
  -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
284
  FUNCTION func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : STD_LOGIC_VECTOR; str : STRING) RETURN STD_LOGIC;
285
  FUNCTION func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : STD_LOGIC_VECTOR; str : STRING) RETURN STD_LOGIC;
286
  FUNCTION func_dp_stream_arr_and(dp : t_dp_siso_arr;                          str : STRING) RETURN STD_LOGIC;
287
  FUNCTION func_dp_stream_arr_and(dp : t_dp_sosi_arr;                          str : STRING) RETURN STD_LOGIC;
288
  FUNCTION func_dp_stream_arr_or( dp : t_dp_siso_arr; mask : STD_LOGIC_VECTOR; str : STRING) RETURN STD_LOGIC;
289
  FUNCTION func_dp_stream_arr_or( dp : t_dp_sosi_arr; mask : STD_LOGIC_VECTOR; str : STRING) RETURN STD_LOGIC;
290
  FUNCTION func_dp_stream_arr_or( dp : t_dp_siso_arr;                          str : STRING) RETURN STD_LOGIC;
291
  FUNCTION func_dp_stream_arr_or( dp : t_dp_sosi_arr;                          str : STRING) RETURN STD_LOGIC;
292
 
293
  -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
294
  FUNCTION func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : STD_LOGIC_VECTOR; str : STRING) RETURN t_dp_siso_arr;
295
  FUNCTION func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : STD_LOGIC_VECTOR; str : STRING) RETURN t_dp_sosi_arr;
296
  FUNCTION func_dp_stream_arr_set(dp : t_dp_siso_arr; sl  : STD_LOGIC;        str : STRING) RETURN t_dp_siso_arr;
297
  FUNCTION func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl  : STD_LOGIC;        str : STRING) RETURN t_dp_sosi_arr;
298
  FUNCTION func_dp_stream_arr_get(dp : t_dp_siso_arr;                         str : STRING) RETURN STD_LOGIC_VECTOR;
299
  FUNCTION func_dp_stream_arr_get(dp : t_dp_sosi_arr;                         str : STRING) RETURN STD_LOGIC_VECTOR;
300
 
301
  -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
302
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a,                 b : t_dp_siso)     RETURN t_dp_siso_arr;
303
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a,                 b : t_dp_sosi)     RETURN t_dp_sosi_arr;
304
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a : t_dp_siso_arr; b : t_dp_siso)     RETURN t_dp_siso_arr;
305
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a : t_dp_sosi_arr; b : t_dp_sosi)     RETURN t_dp_sosi_arr;
306
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a : t_dp_siso;     b : t_dp_siso_arr) RETURN t_dp_siso_arr;
307
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a : t_dp_sosi;     b : t_dp_sosi_arr) RETURN t_dp_sosi_arr;
308
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a,                 b : t_dp_siso_arr) RETURN t_dp_siso_arr;
309
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a,                 b : t_dp_sosi_arr) RETURN t_dp_sosi_arr;
310
 
311
  -- Fix reversed buses due to connecting TO to DOWNTO range arrays. 
312
  FUNCTION func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) RETURN t_dp_sosi_arr;
313
  FUNCTION func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) RETURN t_dp_siso_arr;
314
 
315
  -- Functions to combinatorially hold the data fields and to set or reset the control fields in an sosi array
316
  FUNCTION func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) RETURN t_dp_sosi_arr;
317
  FUNCTION func_dp_stream_arr_set_info(              dp : t_dp_sosi_arr; info       : t_dp_sosi) RETURN t_dp_sosi_arr;
318
  FUNCTION func_dp_stream_arr_set_control(           dp : t_dp_sosi_arr;       ctrl : t_dp_sosi) RETURN t_dp_sosi_arr;
319
  FUNCTION func_dp_stream_arr_reset_control(         dp : t_dp_sosi_arr                        ) RETURN t_dp_sosi_arr;
320
 
321
  -- Reset sosi ctrl and preserve the sosi data (to avoid unnecessary data toggling and to ease data view in Wave window)
322
  FUNCTION func_dp_stream_reset_control(dp : t_dp_sosi) RETURN t_dp_sosi;
323
 
324
  -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
325
  FUNCTION func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : STD_LOGIC_VECTOR; w : NATURAL) RETURN STD_LOGIC_VECTOR;
326
  FUNCTION func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr;                          w : NATURAL) RETURN STD_LOGIC_VECTOR;
327
  FUNCTION func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : STD_LOGIC_VECTOR; w : NATURAL) RETURN STD_LOGIC_VECTOR;
328
  FUNCTION func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr;                          w : NATURAL) RETURN STD_LOGIC_VECTOR;
329
 
330
  -- Function to copy the BSN of one valid stream to all output streams. 
331
  FUNCTION func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : STD_LOGIC_VECTOR) RETURN t_dp_sosi_arr;
332
 
333
  -- Functions to combinatorially handle channels
334
  -- Note that the *_select and *_remove function are equivalent to dp_demux with g_combined=TRUE
335
  FUNCTION func_dp_stream_channel_set   (st_sosi : t_dp_sosi; ch : NATURAL) RETURN t_dp_sosi;  -- select channel nr, add the channel field
336
  FUNCTION func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : NATURAL) RETURN t_dp_sosi;  -- select channel nr, skip the channel field
337
  FUNCTION func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : NATURAL) RETURN t_dp_sosi;  -- skip channel nr
338
 
339
  -- Functions to combinatorially handle the error field
340
  FUNCTION func_dp_stream_error_set(st_sosi : t_dp_sosi; n : NATURAL) RETURN t_dp_sosi;  -- force err = 0, is OK
341
 
342
  -- Functions to combinatorially handle the BSN field
343
  FUNCTION func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : STD_LOGIC_VECTOR) RETURN t_dp_sosi;
344
 
345
  -- Functions to combine sosi fields
346
  FUNCTION func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) RETURN t_dp_sosi;
347
 
348
  -- Functions to convert sosi fields
349
  FUNCTION func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : NATURAL) RETURN t_dp_sosi_integer;
350
 
351
  -- Functions to set the DATA, RE and IM field in a stream.
352
  FUNCTION func_dp_stream_set_data(dp : t_dp_sosi;     slv : STD_LOGIC_VECTOR; str : STRING                         ) RETURN t_dp_sosi;
353
  FUNCTION func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : STD_LOGIC_VECTOR; str : STRING                         ) RETURN t_dp_sosi_arr;
354
  FUNCTION func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : STD_LOGIC_VECTOR; str : STRING; mask : STD_LOGIC_VECTOR) RETURN t_dp_sosi_arr;
355
 
356
  -- Concatenate the data from a SOSI array into a single SOSI stream (assumes streams are in sync)
357
  FUNCTION func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : NATURAL) RETURN t_dp_sosi; -- Concat SOSI_ARR data into single SOSI
358
  FUNCTION func_dp_stream_concat(src_in     : t_dp_siso; nof_streams : NATURAL) RETURN t_dp_siso_arr; -- Wire single SISO to SISO_ARR
359
  -- Deconcatenate data from SOSI into SOSI array
360
  FUNCTION func_dp_stream_deconcat(snk_in      : t_dp_sosi; nof_streams, data_w : NATURAL) RETURN t_dp_sosi_arr; -- Deconcat SOSI data
361
  FUNCTION func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) RETURN t_dp_siso; -- Wire SISO_ARR(0) to single SISO 
362
 
363
END dp_stream_pkg;
364
 
365
 
366
PACKAGE BODY dp_stream_pkg IS
367
 
368
  -- Check sosi.valid against siso.ready
369
  PROCEDURE proc_dp_siso_alert(CONSTANT c_ready_latency : IN    NATURAL;
370
                               SIGNAL   clk             : IN    STD_LOGIC;
371
                               SIGNAL   sosi            : IN    t_dp_sosi;
372
                               SIGNAL   siso            : IN    t_dp_siso;
373
                               SIGNAL   ready_reg       : INOUT STD_LOGIC_VECTOR) IS
374
  BEGIN
375
    ready_reg(0) <= siso.ready;
376
    -- Register siso.ready in c_ready_latency registers
377
    IF rising_edge(clk) THEN
378
      -- Check DP sink
379
      IF sosi.valid = '1' AND ready_reg(c_ready_latency) = '0' THEN
380
        REPORT "RL ERROR" SEVERITY FAILURE;
381
      END IF;
382
      ready_reg( 1 TO c_ready_latency) <= ready_reg( 0 TO c_ready_latency-1);
383
    END IF;
384
  END proc_dp_siso_alert;
385
 
386
  -- Default RL=1
387
  PROCEDURE proc_dp_siso_alert(SIGNAL   clk             : IN    STD_LOGIC;
388
                               SIGNAL   sosi            : IN    t_dp_sosi;
389
                               SIGNAL   siso            : IN    t_dp_siso;
390
                               SIGNAL   ready_reg       : INOUT STD_LOGIC_VECTOR) IS
391
  BEGIN
392
    proc_dp_siso_alert(1, clk, sosi, siso, ready_reg);
393
  END proc_dp_siso_alert;
394
 
395
  -- SOSI/SISO array version
396
  PROCEDURE proc_dp_siso_alert(CONSTANT c_ready_latency : IN    NATURAL;
397
                               SIGNAL   clk             : IN    STD_LOGIC;
398
                               SIGNAL   sosi_arr        : IN    t_dp_sosi_arr;
399
                               SIGNAL   siso_arr        : IN    t_dp_siso_arr;
400
                               SIGNAL   ready_reg       : INOUT STD_LOGIC_VECTOR) IS
401
  BEGIN
402
    FOR i IN 0 TO sosi_arr'LENGTH-1 LOOP
403
      ready_reg(i*(c_ready_latency+1)) <= siso_arr(i).ready; -- SLV is used as an array: nof_streams*(0..c_ready_latency)
404
    END LOOP;
405
    -- Register siso.ready in c_ready_latency registers
406
    IF rising_edge(clk) THEN
407
      FOR i IN 0 TO sosi_arr'LENGTH-1 LOOP
408
        -- Check DP sink
409
        IF sosi_arr(i).valid = '1' AND ready_reg(i*(c_ready_latency+1)+1) = '0' THEN
410
          REPORT "RL ERROR" SEVERITY FAILURE;
411
        END IF;
412
        ready_reg(i*(c_ready_latency+1)+1 TO i*(c_ready_latency+1)+c_ready_latency) <=  ready_reg(i*(c_ready_latency+1) TO i*(c_ready_latency+1)+c_ready_latency-1);
413
      END LOOP;
414
    END IF;
415
  END proc_dp_siso_alert;
416
 
417
  -- SOSI/SISO array version with RL=1
418
  PROCEDURE proc_dp_siso_alert(SIGNAL   clk             : IN    STD_LOGIC;
419
                               SIGNAL   sosi_arr        : IN    t_dp_sosi_arr;
420
                               SIGNAL   siso_arr        : IN    t_dp_siso_arr;
421
                               SIGNAL   ready_reg       : INOUT STD_LOGIC_VECTOR) IS
422
  BEGIN
423
    proc_dp_siso_alert(1, clk, sosi_arr, siso_arr, ready_reg);
424
  END proc_dp_siso_alert;
425
 
426
  -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
427
  FUNCTION TO_DP_BSN(n : NATURAL) RETURN STD_LOGIC_VECTOR IS
428
  BEGIN
429
    RETURN RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_bsn_w);
430
  END TO_DP_BSN;
431
 
432
  FUNCTION TO_DP_DATA(n : INTEGER) RETURN STD_LOGIC_VECTOR IS
433
  BEGIN
434
    RETURN RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
435
  END TO_DP_DATA;
436
 
437
  FUNCTION TO_DP_SDATA(n : INTEGER) RETURN STD_LOGIC_VECTOR IS
438
  BEGIN
439
    RETURN RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
440
  END TO_DP_SDATA;
441
 
442
  FUNCTION TO_DP_UDATA(n : INTEGER) RETURN STD_LOGIC_VECTOR IS
443
  BEGIN
444
    RETURN TO_DP_DATA(n);
445
  END TO_DP_UDATA;
446
 
447
  FUNCTION TO_DP_DSP_DATA(n : INTEGER) RETURN STD_LOGIC_VECTOR IS
448
  BEGIN
449
    RETURN RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
450
  END TO_DP_DSP_DATA;
451
 
452
  FUNCTION TO_DP_DSP_UDATA(n : INTEGER) RETURN STD_LOGIC_VECTOR IS
453
  BEGIN
454
    RETURN RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
455
  END TO_DP_DSP_UDATA;
456
 
457
  FUNCTION TO_DP_EMPTY(n : NATURAL) RETURN STD_LOGIC_VECTOR IS
458
  BEGIN
459
    RETURN TO_UVEC(n, c_dp_stream_empty_w);
460
  END TO_DP_EMPTY;
461
 
462
  FUNCTION TO_DP_CHANNEL(n : NATURAL) RETURN STD_LOGIC_VECTOR IS
463
  BEGIN
464
    RETURN TO_UVEC(n, c_dp_stream_channel_w);
465
  END TO_DP_CHANNEL;
466
 
467
  FUNCTION TO_DP_ERROR(n : NATURAL) RETURN STD_LOGIC_VECTOR IS
468
  BEGIN
469
    RETURN TO_UVEC(n, c_dp_stream_error_w);
470
  END TO_DP_ERROR;
471
 
472
  FUNCTION RESIZE_DP_BSN(vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS
473
  BEGIN
474
    RETURN RESIZE_UVEC(vec, c_dp_stream_bsn_w);
475
  END RESIZE_DP_BSN;
476
 
477
  FUNCTION RESIZE_DP_DATA(vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS
478
  BEGIN
479
    RETURN RESIZE_UVEC(vec, c_dp_stream_data_w);
480
  END RESIZE_DP_DATA;
481
 
482
  FUNCTION RESIZE_DP_SDATA(vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS
483
  BEGIN
484
    RETURN RESIZE_SVEC(vec, c_dp_stream_data_w);
485
  END RESIZE_DP_SDATA;
486
 
487
  FUNCTION RESIZE_DP_XDATA(vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS
488
    VARIABLE v_vec : STD_LOGIC_VECTOR(c_dp_stream_data_w-1 DOWNTO 0) := (OTHERS=>'X');
489
  BEGIN
490
    v_vec(vec'LENGTH-1 DOWNTO 0) := vec;
491
    RETURN v_vec;
492
  END RESIZE_DP_XDATA;
493
 
494
  FUNCTION RESIZE_DP_DSP_DATA(vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS
495
  BEGIN
496
    RETURN RESIZE_SVEC(vec, c_dp_stream_dsp_data_w);
497
  END RESIZE_DP_DSP_DATA;
498
 
499
  FUNCTION RESIZE_DP_EMPTY(vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS
500
  BEGIN
501
    RETURN RESIZE_UVEC(vec, c_dp_stream_empty_w);
502
  END RESIZE_DP_EMPTY;
503
 
504
  FUNCTION RESIZE_DP_CHANNEL(vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS
505
  BEGIN
506
    RETURN RESIZE_UVEC(vec, c_dp_stream_channel_w);
507
  END RESIZE_DP_CHANNEL;
508
 
509
  FUNCTION RESIZE_DP_ERROR(vec : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS
510
  BEGIN
511
    RETURN RESIZE_UVEC(vec, c_dp_stream_error_w);
512
  END RESIZE_DP_ERROR;
513
 
514
  FUNCTION INCR_DP_DATA(vec : STD_LOGIC_VECTOR; dec : INTEGER; w : NATURAL) RETURN STD_LOGIC_VECTOR IS
515
  BEGIN
516
    RETURN RESIZE_DP_DATA(STD_LOGIC_VECTOR(UNSIGNED(vec(w-1 DOWNTO 0)) + dec));
517
  END INCR_DP_DATA;
518
 
519
  FUNCTION INCR_DP_SDATA(vec : STD_LOGIC_VECTOR; dec : INTEGER; w : NATURAL) RETURN STD_LOGIC_VECTOR IS
520
  BEGIN
521
    RETURN RESIZE_DP_SDATA(STD_LOGIC_VECTOR(SIGNED(vec(w-1 DOWNTO 0)) + dec));
522
  END INCR_DP_SDATA;
523
 
524
  FUNCTION INCR_DP_DSP_DATA(vec : STD_LOGIC_VECTOR; dec : INTEGER; w : NATURAL) RETURN STD_LOGIC_VECTOR IS
525
  BEGIN
526
    RETURN RESIZE_DP_DSP_DATA(STD_LOGIC_VECTOR(SIGNED(vec(w-1 DOWNTO 0)) + dec));
527
  END INCR_DP_DSP_DATA;
528
 
529
  FUNCTION REPLICATE_DP_DATA(seq : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS
530
    CONSTANT c_seq_w            : NATURAL := seq'LENGTH;
531
    CONSTANT c_nof_replications : NATURAL := ceil_div(c_dp_stream_data_w, c_seq_w);
532
    CONSTANT c_vec_w            : NATURAL := ceil_value(c_dp_stream_data_w, c_seq_w);
533
    VARIABLE v_vec              : STD_LOGIC_VECTOR(c_vec_w-1 DOWNTO 0);
534
  BEGIN
535
    FOR I IN 0 TO c_nof_replications-1 LOOP
536
      v_vec((I+1)*c_seq_w-1 DOWNTO I*c_seq_w) := seq;
537
    END LOOP;
538
    RETURN v_vec(c_dp_stream_data_w-1 DOWNTO 0);
539
  END REPLICATE_DP_DATA;
540
 
541
  FUNCTION UNREPLICATE_DP_DATA(data : STD_LOGIC_VECTOR; seq_w :NATURAL) RETURN STD_LOGIC_VECTOR IS
542
    CONSTANT c_data_w           : NATURAL := data'LENGTH;
543
    CONSTANT c_nof_replications : NATURAL := ceil_div(c_data_w, seq_w);
544
    CONSTANT c_vec_w            : NATURAL := ceil_value(c_data_w, seq_w);
545
    VARIABLE v_seq              : STD_LOGIC_VECTOR(seq_w-1 DOWNTO 0);
546
    VARIABLE v_data             : STD_LOGIC_VECTOR(c_vec_w-1 DOWNTO 0);
547
    VARIABLE v_vec              : STD_LOGIC_VECTOR(c_vec_w-1 DOWNTO 0);
548
  BEGIN
549
    v_data := RESIZE_UVEC(data, c_vec_w);
550
    v_seq := v_data(seq_w-1 DOWNTO 0);                                                          -- low data part is the v_seq
551
    v_vec(seq_w-1 DOWNTO 0) := v_seq;                                                           -- keep v_seq at low part of return value
552
    IF c_nof_replications>1 THEN
553
      FOR I IN 1 TO c_nof_replications-1 LOOP
554
        v_vec((I+1)*seq_w-1 DOWNTO I*seq_w) := v_data((I+1)*seq_w-1 DOWNTO I*seq_w) XOR v_seq;  -- set return bit to '1' for high part data bits that do not match low part v_seq
555
      END LOOP;
556
    END IF;
557
    RETURN v_vec(c_data_w-1 DOWNTO 0);
558
  END UNREPLICATE_DP_DATA;
559
 
560
  FUNCTION TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : STD_LOGIC; bsn, data, re, im, empty, channel, err : UNSIGNED) RETURN t_dp_sosi_unsigned IS
561
    VARIABLE v_sosi_unsigned : t_dp_sosi_unsigned;
562
  BEGIN
563
    v_sosi_unsigned.sync    := sync;
564
    v_sosi_unsigned.valid   := valid;
565
    v_sosi_unsigned.sop     := sop;
566
    v_sosi_unsigned.eop     := eop;
567
    v_sosi_unsigned.bsn     := RESIZE(bsn,     c_dp_stream_bsn_w);
568
    v_sosi_unsigned.data    := RESIZE(data,    c_dp_stream_data_w);
569
    v_sosi_unsigned.re      := RESIZE(re,      c_dp_stream_dsp_data_w);
570
    v_sosi_unsigned.im      := RESIZE(im,      c_dp_stream_dsp_data_w);
571
    v_sosi_unsigned.empty   := RESIZE(empty,   c_dp_stream_empty_w);
572
    v_sosi_unsigned.channel := RESIZE(channel, c_dp_stream_channel_w);
573
    v_sosi_unsigned.err     := RESIZE(err,     c_dp_stream_error_w);
574
    RETURN v_sosi_unsigned;
575
  END TO_DP_SOSI_UNSIGNED;
576
 
577
  -- Keep part of head data and combine part of tail data
578
  FUNCTION func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : NATURAL) RETURN t_dp_sosi IS
579
    VARIABLE vN     : NATURAL := nof_symbols_per_data;
580
    VARIABLE v_sosi : t_dp_sosi;
581
  BEGIN
582
    ASSERT nof_symbols_from_tail<vN REPORT "func_dp_data_shift_first : no symbols from head" SEVERITY FAILURE;
583
    -- use the other sosi from head_sosi
584
    v_sosi := head_sosi;     -- I = nof_symbols_from_tail = 0
585
    FOR I IN 1 TO vN-1 LOOP  -- I > 0
586
      IF nof_symbols_from_tail = I THEN
587
        v_sosi.data(I*symbol_w-1 DOWNTO 0) := tail_sosi.data(vN*symbol_w-1 DOWNTO (vN-I)*symbol_w);
588
      END IF;
589
    END LOOP;
590
    RETURN v_sosi;
591
  END func_dp_data_shift_first;
592
 
593
 
594
  -- Shift and combine part of previous data and this data,
595
  FUNCTION func_dp_data_shift(prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : NATURAL) RETURN t_dp_sosi IS
596
    VARIABLE vK     : NATURAL := nof_symbols_from_this;
597
    VARIABLE vN     : NATURAL := nof_symbols_per_data;
598
    VARIABLE v_sosi : t_dp_sosi;
599
  BEGIN
600
    -- use the other sosi from this_sosi if nof_symbols_from_this > 0 else use other sosi from prev_sosi
601
    IF vK>0 THEN
602
      v_sosi := this_sosi;
603
    ELSE
604
      v_sosi := prev_sosi;
605
    END IF;
606
 
607
    -- use sosi data from both if 0 < nof_symbols_from_this < nof_symbols_per_data (i.e. 0 < I < vN)
608
    IF vK<nof_symbols_per_data THEN   -- I = vK = nof_symbols_from_this < vN
609
      -- Implementation using variable vK directly instead of via I in a LOOP
610
      -- IF vK > 0 THEN
611
      --   v_sosi.data(vN*symbol_w-1 DOWNTO vK*symbol_w)            := prev_sosi.data((vN-vK)*symbol_w-1 DOWNTO                0);
612
      --   v_sosi.data(                     vK*symbol_w-1 DOWNTO 0) := this_sosi.data( vN    *symbol_w-1 DOWNTO (vN-vK)*symbol_w);
613
      -- END IF;
614
      -- Implementaion using LOOP vK rather than VARIABLE vK directly as index to help synthesis and avoid potential multiplier
615
      v_sosi.data := prev_sosi.data;  -- I = vK = nof_symbols_from_this = 0
616
      FOR I IN 1 TO vN-1 LOOP         -- I = vK = nof_symbols_from_this > 0
617
        IF vK = I THEN
618
          v_sosi.data(vN*symbol_w-1 DOWNTO I*symbol_w)            := prev_sosi.data((vN-I)*symbol_w-1 DOWNTO               0);
619
          v_sosi.data(                     I*symbol_w-1 DOWNTO 0) := this_sosi.data( vN   *symbol_w-1 DOWNTO (vN-I)*symbol_w);
620
        END IF;
621
      END LOOP;
622
    END IF;
623
    RETURN v_sosi;
624
  END func_dp_data_shift;
625
 
626
 
627
  -- Shift part of tail data and account for input empty
628
  FUNCTION func_dp_data_shift_last(tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : NATURAL) RETURN t_dp_sosi IS
629
    VARIABLE vK     : NATURAL := nof_symbols_from_tail;
630
    VARIABLE vL     : NATURAL := input_empty;
631
    VARIABLE vN     : NATURAL := nof_symbols_per_data;
632
    VARIABLE v_sosi : t_dp_sosi;
633
  BEGIN
634
    ASSERT vK   > 0  REPORT "func_dp_data_shift_last : no symbols from tail" SEVERITY FAILURE;
635
    ASSERT vK+vL<=vN REPORT "func_dp_data_shift_last : impossible shift" SEVERITY FAILURE;
636
    v_sosi := tail_sosi;
637
    -- Implementation using variable vK directly instead of via I in a LOOP
638
    -- IF vK > 0 THEN
639
    --   v_sosi.data(vN*symbol_w-1 DOWNTO (vN-vK)*symbol_w) <= tail_sosi.data((vK+vL)*symbol_w-1 DOWNTO vL*symbol_w);
640
    -- END IF;  
641
    -- Implementation using LOOP vK rather than VARIABLE vK directly as index to help synthesis and avoid potential multiplier
642
    -- Implementation using LOOP vL rather than VARIABLE vL directly as index to help synthesis and avoid potential multiplier
643
    FOR I IN 1 TO vN-1 LOOP
644
      IF vK = I THEN
645
        FOR J IN 0 TO vN-1 LOOP
646
          IF vL = J THEN
647
            v_sosi.data(vN*symbol_w-1 DOWNTO (vN-I)*symbol_w) := tail_sosi.data((I+J)*symbol_w-1 DOWNTO J*symbol_w);
648
          END IF;
649
        END LOOP;
650
      END IF;
651
    END LOOP;
652
    RETURN v_sosi;
653
  END func_dp_data_shift_last;
654
 
655
 
656
  -- Determine resulting empty if two streams are concatenated
657
  -- . both empty must use the same nof symbols per data
658
  FUNCTION func_dp_empty_concat(head_empty, tail_empty : STD_LOGIC_VECTOR; nof_symbols_per_data : NATURAL) RETURN STD_LOGIC_VECTOR IS
659
    VARIABLE v_a, v_b, v_empty : NATURAL;
660
  BEGIN
661
    v_a := TO_UINT(head_empty);
662
    v_b := TO_UINT(tail_empty);
663
    v_empty := v_a + v_b;
664
    IF v_empty >= nof_symbols_per_data THEN
665
      v_empty := v_empty - nof_symbols_per_data;
666
    END IF;
667
    RETURN TO_UVEC(v_empty, head_empty'LENGTH);
668
  END func_dp_empty_concat;
669
 
670
  FUNCTION func_dp_empty_split(input_empty, head_empty : STD_LOGIC_VECTOR; nof_symbols_per_data : NATURAL) RETURN STD_LOGIC_VECTOR IS
671
    VARIABLE v_a, v_b, v_empty : NATURAL;
672
  BEGIN
673
    v_a   := TO_UINT(input_empty);
674
    v_b   := TO_UINT(head_empty);
675
    IF v_a >= v_b THEN
676
      v_empty := v_a - v_b;
677
    ELSE
678
      v_empty := (nof_symbols_per_data + v_a) - v_b;
679
    END IF;
680
    RETURN TO_UVEC(v_empty, head_empty'LENGTH);
681
  END func_dp_empty_split;
682
 
683
 
684
  -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
685
  FUNCTION func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) RETURN t_dp_sosi IS
686
    VARIABLE v_sosi : t_dp_sosi := c_dp_sosi_rst;
687
  BEGIN
688
    FOR I IN dp'RANGE LOOP
689
      IF dp(I).valid='1' THEN
690
        v_sosi := dp(I);
691
        EXIT;
692
      END IF;
693
    END LOOP;
694
    RETURN v_sosi;
695
  END func_dp_sosi_arr_mux;
696
 
697
 
698
  -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
699
  FUNCTION func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : STD_LOGIC_VECTOR; str : STRING) RETURN STD_LOGIC IS
700
    VARIABLE v_vec : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>'1');  -- set default v_vec such that unmasked input have no influence on operation result
701
    VARIABLE v_any : STD_LOGIC := '0';
702
  BEGIN
703
    -- map siso field to v_vec
704
    FOR I IN dp'RANGE LOOP
705
      IF mask(I)='1' THEN
706
        v_any := '1';
707
        IF    str="READY" THEN v_vec(I) := dp(I).ready;
708
        ELSIF str="XON"   THEN v_vec(I) := dp(I).xon;
709
        ELSE  REPORT "Error in func_dp_stream_arr_and for t_dp_siso_arr";
710
        END IF;
711
      END IF;
712
    END LOOP;
713
    -- do operation on the selected record field
714
    IF v_any='1' THEN
715
      RETURN vector_and(v_vec);   -- return AND of the masked input fields
716
    ELSE
717
      RETURN '0';                 -- return '0' if no input was masked
718
    END IF;
719
  END func_dp_stream_arr_and;
720
 
721
  FUNCTION func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : STD_LOGIC_VECTOR; str : STRING) RETURN STD_LOGIC IS
722
    VARIABLE v_vec : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>'1');  -- set default v_vec such that unmasked input have no influence on operation result
723
    VARIABLE v_any : STD_LOGIC := '0';
724
  BEGIN
725
    -- map siso field to v_vec
726
    FOR I IN dp'RANGE LOOP
727
      IF mask(I)='1' THEN
728
        v_any := '1';
729
        IF    str="VALID" THEN v_vec(I) := dp(I).valid;
730
        ELSIF str="SOP"   THEN v_vec(I) := dp(I).sop;
731
        ELSIF str="EOP"   THEN v_vec(I) := dp(I).eop;
732
        ELSIF str="SYNC"  THEN v_vec(I) := dp(I).sync;
733
        ELSE  REPORT "Error in func_dp_stream_arr_and for t_dp_sosi_arr";
734
        END IF;
735
      END IF;
736
    END LOOP;
737
    -- do operation on the selected record field
738
    IF v_any='1' THEN
739
      RETURN vector_and(v_vec);   -- return AND of the masked input fields
740
    ELSE
741
      RETURN '0';                 -- return '0' if no input was masked
742
    END IF;
743
  END func_dp_stream_arr_and;
744
 
745
  FUNCTION func_dp_stream_arr_and(dp : t_dp_siso_arr; str : STRING) RETURN STD_LOGIC IS
746
    CONSTANT c_mask : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>'1');
747
  BEGIN
748
    RETURN func_dp_stream_arr_and(dp, c_mask, str);
749
  END func_dp_stream_arr_and;
750
 
751
  FUNCTION func_dp_stream_arr_and(dp : t_dp_sosi_arr; str : STRING) RETURN STD_LOGIC IS
752
    CONSTANT c_mask : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>'1');
753
  BEGIN
754
    RETURN func_dp_stream_arr_and(dp, c_mask, str);
755
  END func_dp_stream_arr_and;
756
 
757
  FUNCTION func_dp_stream_arr_or(dp : t_dp_siso_arr; mask : STD_LOGIC_VECTOR; str : STRING) RETURN STD_LOGIC IS
758
    VARIABLE v_vec : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>'0');  -- set default v_vec such that unmasked input have no influence on operation result
759
    VARIABLE v_any : STD_LOGIC := '0';
760
  BEGIN
761
    -- map siso field to v_vec
762
    FOR I IN dp'RANGE LOOP
763
      IF mask(I)='1' THEN
764
        v_any := '1';
765
        IF    str="READY" THEN v_vec(I) := dp(I).ready;
766
        ELSIF str="XON"   THEN v_vec(I) := dp(I).xon;
767
        ELSE  REPORT "Error in func_dp_stream_arr_or for t_dp_siso_arr";
768
        END IF;
769
      END IF;
770
    END LOOP;
771
    -- do operation on the selected record field
772
    IF v_any='1' THEN
773
      RETURN vector_or(v_vec);   -- return OR of the masked input fields
774
    ELSE
775
      RETURN '0';                -- return '0' if no input was masked
776
    END IF;
777
  END func_dp_stream_arr_or;
778
 
779
  FUNCTION func_dp_stream_arr_or(dp : t_dp_sosi_arr; mask : STD_LOGIC_VECTOR; str : STRING) RETURN STD_LOGIC IS
780
    VARIABLE v_vec : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>'0');  -- set default v_vec such that unmasked input have no influence on operation result
781
    VARIABLE v_any : STD_LOGIC := '0';
782
  BEGIN
783
    -- map siso field to v_vec
784
    FOR I IN dp'RANGE LOOP
785
      IF mask(I)='1' THEN
786
        v_any := '1';
787
        IF    str="VALID" THEN v_vec(I) := dp(I).valid;
788
        ELSIF str="SOP"   THEN v_vec(I) := dp(I).sop;
789
        ELSIF str="EOP"   THEN v_vec(I) := dp(I).eop;
790
        ELSIF str="SYNC"  THEN v_vec(I) := dp(I).sync;
791
        ELSE  REPORT "Error in func_dp_stream_arr_or for t_dp_sosi_arr";
792
        END IF;
793
      END IF;
794
    END LOOP;
795
    -- do operation on the selected record field
796
    IF v_any='1' THEN
797
      RETURN vector_or(v_vec);   -- return OR of the masked input fields
798
    ELSE
799
      RETURN '0';                -- return '0' if no input was masked
800
    END IF;
801
  END func_dp_stream_arr_or;
802
 
803
  FUNCTION func_dp_stream_arr_or(dp : t_dp_siso_arr; str : STRING) RETURN STD_LOGIC IS
804
    CONSTANT c_mask : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>'1');
805
  BEGIN
806
    RETURN func_dp_stream_arr_or(dp, c_mask, str);
807
  END func_dp_stream_arr_or;
808
 
809
  FUNCTION func_dp_stream_arr_or(dp : t_dp_sosi_arr; str : STRING) RETURN STD_LOGIC IS
810
    CONSTANT c_mask : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>'1');
811
  BEGIN
812
    RETURN func_dp_stream_arr_or(dp, c_mask, str);
813
  END func_dp_stream_arr_or;
814
 
815
 
816
  -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
817
  FUNCTION func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : STD_LOGIC_VECTOR; str : STRING) RETURN t_dp_siso_arr IS
818
    VARIABLE v_dp  : t_dp_siso_arr(dp'RANGE)    := dp;   -- default
819
    VARIABLE v_slv : STD_LOGIC_VECTOR(dp'RANGE) := slv;  -- map to ensure same range as for dp
820
  BEGIN
821
    FOR I IN dp'RANGE LOOP
822
      IF    str="READY" THEN v_dp(I).ready := v_slv(I);
823
      ELSIF str="XON"   THEN v_dp(I).xon   := v_slv(I);
824
      ELSE  REPORT "Error in func_dp_stream_arr_set for t_dp_siso_arr";
825
      END IF;
826
    END LOOP;
827
    RETURN v_dp;
828
  END func_dp_stream_arr_set;
829
 
830
  FUNCTION func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : STD_LOGIC_VECTOR; str : STRING) RETURN t_dp_sosi_arr IS
831
    VARIABLE v_dp  : t_dp_sosi_arr(dp'RANGE)    := dp;   -- default
832
    VARIABLE v_slv : STD_LOGIC_VECTOR(dp'RANGE) := slv;  -- map to ensure same range as for dp
833
  BEGIN
834
    FOR I IN dp'RANGE LOOP
835
      IF    str="VALID" THEN v_dp(I).valid := v_slv(I);
836
      ELSIF str="SOP"   THEN v_dp(I).sop   := v_slv(I);
837
      ELSIF str="EOP"   THEN v_dp(I).eop   := v_slv(I);
838
      ELSIF str="SYNC"  THEN v_dp(I).sync  := v_slv(I);
839
      ELSE  REPORT "Error in func_dp_stream_arr_set for t_dp_sosi_arr";
840
      END IF;
841
    END LOOP;
842
    RETURN v_dp;
843
  END func_dp_stream_arr_set;
844
 
845
  FUNCTION func_dp_stream_arr_set(dp : t_dp_siso_arr; sl : STD_LOGIC; str : STRING) RETURN t_dp_siso_arr IS
846
    VARIABLE v_slv : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>sl);
847
  BEGIN
848
    RETURN func_dp_stream_arr_set(dp, v_slv, str);
849
  END func_dp_stream_arr_set;
850
 
851
  FUNCTION func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl : STD_LOGIC; str : STRING) RETURN t_dp_sosi_arr IS
852
    VARIABLE v_slv : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>sl);
853
  BEGIN
854
    RETURN func_dp_stream_arr_set(dp, v_slv, str);
855
  END func_dp_stream_arr_set;
856
 
857
  FUNCTION func_dp_stream_arr_get(dp : t_dp_siso_arr; str : STRING) RETURN STD_LOGIC_VECTOR IS
858
    VARIABLE v_ctrl : STD_LOGIC_VECTOR(dp'RANGE);
859
  BEGIN
860
    FOR I IN dp'RANGE LOOP
861
      IF    str="READY" THEN v_ctrl(I) := dp(I).ready;
862
      ELSIF str="XON"   THEN v_ctrl(I) := dp(I).xon;
863
      ELSE  REPORT "Error in func_dp_stream_arr_get for t_dp_siso_arr";
864
      END IF;
865
    END LOOP;
866
    RETURN v_ctrl;
867
  END func_dp_stream_arr_get;
868
 
869
  FUNCTION func_dp_stream_arr_get(dp : t_dp_sosi_arr; str : STRING) RETURN STD_LOGIC_VECTOR IS
870
    VARIABLE v_ctrl : STD_LOGIC_VECTOR(dp'RANGE);
871
  BEGIN
872
    FOR I IN dp'RANGE LOOP
873
      IF    str="VALID" THEN v_ctrl(I) := dp(I).valid;
874
      ELSIF str="SOP"   THEN v_ctrl(I) := dp(I).sop;
875
      ELSIF str="EOP"   THEN v_ctrl(I) := dp(I).eop;
876
      ELSIF str="SYNC"  THEN v_ctrl(I) := dp(I).sync;
877
      ELSE  REPORT "Error in func_dp_stream_arr_get for t_dp_sosi_arr";
878
      END IF;
879
    END LOOP;
880
    RETURN v_ctrl;
881
  END func_dp_stream_arr_get;
882
 
883
 
884
  -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
885
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a, b : t_dp_siso) RETURN t_dp_siso_arr IS
886
    VARIABLE v_dp : t_dp_siso_arr(sel'RANGE);
887
  BEGIN
888
    FOR I IN sel'RANGE LOOP
889
      IF sel(I)='1' THEN
890
        v_dp(I) := a;
891
      ELSE
892
        v_dp(I) := b;
893
      END IF;
894
    END LOOP;
895
    RETURN v_dp;
896
  END func_dp_stream_arr_select;
897
 
898
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a : t_dp_siso_arr; b : t_dp_siso) RETURN t_dp_siso_arr IS
899
    VARIABLE v_dp : t_dp_siso_arr(sel'RANGE);
900
  BEGIN
901
    FOR I IN sel'RANGE LOOP
902
      IF sel(I)='1' THEN
903
        v_dp(I) := a(I);
904
      ELSE
905
        v_dp(I) := b;
906
      END IF;
907
    END LOOP;
908
    RETURN v_dp;
909
  END func_dp_stream_arr_select;
910
 
911
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a : t_dp_siso; b : t_dp_siso_arr) RETURN t_dp_siso_arr IS
912
    VARIABLE v_dp : t_dp_siso_arr(sel'RANGE);
913
  BEGIN
914
    FOR I IN sel'RANGE LOOP
915
      IF sel(I)='1' THEN
916
        v_dp(I) := a;
917
      ELSE
918
        v_dp(I) := b(I);
919
      END IF;
920
    END LOOP;
921
    RETURN v_dp;
922
  END func_dp_stream_arr_select;
923
 
924
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a, b : t_dp_siso_arr) RETURN t_dp_siso_arr IS
925
    VARIABLE v_dp : t_dp_siso_arr(sel'RANGE);
926
  BEGIN
927
    FOR I IN sel'RANGE LOOP
928
      IF sel(I)='1' THEN
929
        v_dp(I) := a(I);
930
      ELSE
931
        v_dp(I) := b(I);
932
      END IF;
933
    END LOOP;
934
    RETURN v_dp;
935
  END func_dp_stream_arr_select;
936
 
937
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a, b : t_dp_sosi) RETURN t_dp_sosi_arr IS
938
    VARIABLE v_dp : t_dp_sosi_arr(sel'RANGE);
939
  BEGIN
940
    FOR I IN sel'RANGE LOOP
941
      IF sel(I)='1' THEN
942
        v_dp(I) := a;
943
      ELSE
944
        v_dp(I) := b;
945
      END IF;
946
    END LOOP;
947
    RETURN v_dp;
948
  END func_dp_stream_arr_select;
949
 
950
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a : t_dp_sosi_arr; b : t_dp_sosi) RETURN t_dp_sosi_arr IS
951
    VARIABLE v_dp : t_dp_sosi_arr(sel'RANGE);
952
  BEGIN
953
    FOR I IN sel'RANGE LOOP
954
      IF sel(I)='1' THEN
955
        v_dp(I) := a(I);
956
      ELSE
957
        v_dp(I) := b;
958
      END IF;
959
    END LOOP;
960
    RETURN v_dp;
961
  END func_dp_stream_arr_select;
962
 
963
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a : t_dp_sosi; b : t_dp_sosi_arr) RETURN t_dp_sosi_arr IS
964
    VARIABLE v_dp : t_dp_sosi_arr(sel'RANGE);
965
  BEGIN
966
    FOR I IN sel'RANGE LOOP
967
      IF sel(I)='1' THEN
968
        v_dp(I) := a;
969
      ELSE
970
        v_dp(I) := b(I);
971
      END IF;
972
    END LOOP;
973
    RETURN v_dp;
974
  END func_dp_stream_arr_select;
975
 
976
  FUNCTION func_dp_stream_arr_select(sel : STD_LOGIC_VECTOR; a, b : t_dp_sosi_arr) RETURN t_dp_sosi_arr IS
977
    VARIABLE v_dp : t_dp_sosi_arr(sel'RANGE);
978
  BEGIN
979
    FOR I IN sel'RANGE LOOP
980
      IF sel(I)='1' THEN
981
        v_dp(I) := a(I);
982
      ELSE
983
        v_dp(I) := b(I);
984
      END IF;
985
    END LOOP;
986
    RETURN v_dp;
987
  END func_dp_stream_arr_select;
988
 
989
  FUNCTION func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) RETURN t_dp_siso_arr IS
990
    VARIABLE v_to_range : t_dp_siso_arr(0 TO in_arr'HIGH);
991
    VARIABLE v_downto_range : t_dp_siso_arr(in_arr'HIGH DOWNTO 0);
992
  BEGIN
993
    FOR i IN in_arr'RANGE LOOP
994
      v_to_range(i)     := in_arr(in_arr'HIGH-i);
995
      v_downto_range(i) := in_arr(in_arr'HIGH-i);
996
    END LOOP;
997
    IF in_arr'LEFT>in_arr'RIGHT THEN
998
      RETURN v_downto_range;
999
    ELSIF in_arr'LEFT<in_arr'RIGHT THEN
1000
      RETURN v_to_range;
1001
    ELSE
1002
      RETURN in_arr;
1003
    END IF;
1004
  END func_dp_stream_arr_reverse_range;
1005
 
1006
  FUNCTION func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) RETURN t_dp_sosi_arr IS
1007
    VARIABLE v_to_range : t_dp_sosi_arr(0 TO in_arr'HIGH);
1008
    VARIABLE v_downto_range : t_dp_sosi_arr(in_arr'HIGH DOWNTO 0);
1009
  BEGIN
1010
    FOR i IN in_arr'RANGE LOOP
1011
      v_to_range(i)     := in_arr(in_arr'HIGH-i);
1012
      v_downto_range(i) := in_arr(in_arr'HIGH-i);
1013
    END LOOP;
1014
    IF in_arr'LEFT>in_arr'RIGHT THEN
1015
      RETURN v_downto_range;
1016
    ELSIF in_arr'LEFT<in_arr'RIGHT THEN
1017
      RETURN v_to_range;
1018
    ELSE
1019
      RETURN in_arr;
1020
    END IF;
1021
  END func_dp_stream_arr_reverse_range;
1022
 
1023
  -- Functions to combinatorially hold the data fields and to set or reset the info and control fields in an sosi array
1024
  FUNCTION func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) RETURN t_dp_sosi_arr IS
1025
    VARIABLE v_dp : t_dp_sosi_arr(dp'RANGE) := dp;       -- hold sosi data
1026
  BEGIN
1027
    v_dp := func_dp_stream_arr_set_info(   v_dp, info);  -- set sosi info
1028
    v_dp := func_dp_stream_arr_set_control(v_dp, ctrl);  -- set sosi ctrl
1029
    RETURN v_dp;
1030
  END func_dp_stream_arr_combine_data_info_ctrl;
1031
 
1032
  FUNCTION func_dp_stream_arr_set_info(dp : t_dp_sosi_arr; info : t_dp_sosi) RETURN t_dp_sosi_arr IS
1033
    VARIABLE v_dp : t_dp_sosi_arr(dp'RANGE) := dp;  -- hold sosi data
1034
  BEGIN
1035
    FOR I IN dp'RANGE LOOP                          -- set sosi info
1036
      v_dp(I).bsn     := info.bsn;      -- sop
1037
      v_dp(I).channel := info.channel;  -- sop
1038
      v_dp(I).empty   := info.empty;    -- eop
1039
      v_dp(I).err     := info.err;      -- eop
1040
    END LOOP;
1041
    RETURN v_dp;
1042
  END func_dp_stream_arr_set_info;
1043
 
1044
  FUNCTION func_dp_stream_arr_set_control(dp : t_dp_sosi_arr; ctrl : t_dp_sosi) RETURN t_dp_sosi_arr IS
1045
    VARIABLE v_dp : t_dp_sosi_arr(dp'RANGE) := dp;  -- hold sosi data
1046
  BEGIN
1047
    FOR I IN dp'RANGE LOOP                          -- set sosi control
1048
      v_dp(I).valid := ctrl.valid;
1049
      v_dp(I).sop   := ctrl.sop;
1050
      v_dp(I).eop   := ctrl.eop;
1051
      v_dp(I).sync  := ctrl.sync;
1052
    END LOOP;
1053
    RETURN v_dp;
1054
  END func_dp_stream_arr_set_control;
1055
 
1056
  FUNCTION func_dp_stream_arr_reset_control(dp : t_dp_sosi_arr) RETURN t_dp_sosi_arr IS
1057
    VARIABLE v_dp : t_dp_sosi_arr(dp'RANGE) := dp;  -- hold sosi data
1058
  BEGIN
1059
    FOR I IN dp'RANGE LOOP                          -- reset sosi control
1060
      v_dp(I).valid := '0';
1061
      v_dp(I).sop   := '0';
1062
      v_dp(I).eop   := '0';
1063
      v_dp(I).sync  := '0';
1064
    END LOOP;
1065
    RETURN v_dp;
1066
  END func_dp_stream_arr_reset_control;
1067
 
1068
  FUNCTION func_dp_stream_reset_control(dp : t_dp_sosi) RETURN t_dp_sosi IS
1069
    VARIABLE v_dp : t_dp_sosi := dp;  -- hold sosi data
1070
  BEGIN
1071
    -- reset sosi control
1072
    v_dp.valid := '0';
1073
    v_dp.sop   := '0';
1074
    v_dp.eop   := '0';
1075
    v_dp.sync  := '0';
1076
    RETURN v_dp;
1077
  END func_dp_stream_reset_control;
1078
 
1079
  -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
1080
  FUNCTION func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : STD_LOGIC_VECTOR; w : NATURAL) RETURN STD_LOGIC_VECTOR IS
1081
    VARIABLE v_bsn : STD_LOGIC_VECTOR(w-1 DOWNTO 0) := (OTHERS=>'0');  -- init max v_bsn with minimum value
1082
  BEGIN
1083
    FOR I IN dp'RANGE LOOP
1084
      IF mask(I)='1' THEN
1085
        IF UNSIGNED(v_bsn) < UNSIGNED(dp(I).bsn(w-1 DOWNTO 0)) THEN
1086
          v_bsn := dp(I).bsn(w-1 DOWNTO 0);
1087
        END IF;
1088
      END IF;
1089
    END LOOP;
1090
    RETURN v_bsn;
1091
  END func_dp_stream_arr_bsn_max;
1092
 
1093
  FUNCTION func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; w : NATURAL) RETURN STD_LOGIC_VECTOR IS
1094
    CONSTANT c_mask : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>'1');
1095
  BEGIN
1096
    RETURN func_dp_stream_arr_bsn_max(dp, c_mask, w);
1097
  END func_dp_stream_arr_bsn_max;
1098
 
1099
  FUNCTION func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : STD_LOGIC_VECTOR; w : NATURAL) RETURN STD_LOGIC_VECTOR IS
1100
    VARIABLE v_bsn : STD_LOGIC_VECTOR(w-1 DOWNTO 0) := (OTHERS=>'1');  -- init min v_bsn with maximum value
1101
  BEGIN
1102
    FOR I IN dp'RANGE LOOP
1103
      IF mask(I)='1' THEN
1104
        IF UNSIGNED(v_bsn) > UNSIGNED(dp(I).bsn(w-1 DOWNTO 0)) THEN
1105
          v_bsn := dp(I).bsn(w-1 DOWNTO 0);
1106
        END IF;
1107
      END IF;
1108
    END LOOP;
1109
    RETURN v_bsn;
1110
  END func_dp_stream_arr_bsn_min;
1111
 
1112
  FUNCTION func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; w : NATURAL) RETURN STD_LOGIC_VECTOR IS
1113
    CONSTANT c_mask : STD_LOGIC_VECTOR(dp'RANGE) := (OTHERS=>'1');
1114
  BEGIN
1115
    RETURN func_dp_stream_arr_bsn_min(dp, c_mask, w);
1116
  END func_dp_stream_arr_bsn_min;
1117
 
1118
  -- Function to copy the BSN number of one valid stream to all other streams. 
1119
  FUNCTION func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : STD_LOGIC_VECTOR) RETURN t_dp_sosi_arr IS
1120
    VARIABLE v_bsn : STD_LOGIC_VECTOR(c_dp_stream_bsn_w-1 DOWNTO 0) := (OTHERS=>'0');
1121
    VARIABLE v_dp  : t_dp_sosi_arr(dp'RANGE) := dp;  -- hold sosi data
1122
  BEGIN
1123
    FOR I IN dp'RANGE LOOP
1124
      IF mask(I)='1' THEN
1125
        v_bsn := dp(I).bsn;
1126
      END IF;
1127
    END LOOP;
1128
    FOR I IN dp'RANGE LOOP
1129
      v_dp(I).bsn := v_bsn;
1130
    END LOOP;
1131
    RETURN v_dp;
1132
  END func_dp_stream_arr_copy_valid_bsn;
1133
 
1134
 
1135
  -- Functions to combinatorially handle channels
1136
  FUNCTION func_dp_stream_channel_set(st_sosi : t_dp_sosi; ch : NATURAL) RETURN t_dp_sosi IS
1137
    VARIABLE v_rec : t_dp_sosi := st_sosi;
1138
  BEGIN
1139
    v_rec.channel := TO_UVEC(ch, c_dp_stream_channel_w);
1140
    RETURN v_rec;
1141
  END func_dp_stream_channel_set;
1142
 
1143
  FUNCTION func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : NATURAL) RETURN t_dp_sosi IS
1144
    VARIABLE v_rec : t_dp_sosi := st_sosi;
1145
  BEGIN
1146
    IF UNSIGNED(st_sosi.channel)/=ch THEN
1147
      v_rec.valid := '0';
1148
      v_rec.sop   := '0';
1149
      v_rec.eop   := '0';
1150
    END IF;
1151
    RETURN v_rec;
1152
  END func_dp_stream_channel_select;
1153
 
1154
  FUNCTION func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : NATURAL) RETURN t_dp_sosi IS
1155
    VARIABLE v_rec : t_dp_sosi := st_sosi;
1156
  BEGIN
1157
    IF UNSIGNED(st_sosi.channel)=ch THEN
1158
      v_rec.valid := '0';
1159
      v_rec.sop   := '0';
1160
      v_rec.eop   := '0';
1161
    END IF;
1162
    RETURN v_rec;
1163
  END func_dp_stream_channel_remove;
1164
 
1165
 
1166
  FUNCTION func_dp_stream_error_set(st_sosi : t_dp_sosi; n : NATURAL) RETURN t_dp_sosi IS
1167
    VARIABLE v_rec : t_dp_sosi := st_sosi;
1168
  BEGIN
1169
    v_rec.err := TO_UVEC(n, c_dp_stream_error_w);
1170
    RETURN v_rec;
1171
  END func_dp_stream_error_set;
1172
 
1173
 
1174
  FUNCTION func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : STD_LOGIC_VECTOR) RETURN t_dp_sosi IS
1175
    VARIABLE v_rec : t_dp_sosi := st_sosi;
1176
  BEGIN
1177
    v_rec.bsn := RESIZE_DP_BSN(bsn);
1178
    RETURN v_rec;
1179
  END func_dp_stream_bsn_set;
1180
 
1181
 
1182
  FUNCTION func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) RETURN t_dp_sosi IS
1183
    VARIABLE v_rec : t_dp_sosi := data;  -- Sosi data fields
1184
  BEGIN
1185
    -- Combine sosi data with the sosi info fields
1186
    v_rec.sync    := info.sync AND data.sop;  -- force sync only active at data.sop
1187
    v_rec.bsn     := info.bsn;
1188
    v_rec.channel := info.channel;
1189
    v_rec.empty   := info.empty;
1190
    v_rec.err     := info.err;
1191
    RETURN v_rec;
1192
  END func_dp_stream_combine_info_and_data;
1193
 
1194
 
1195
  FUNCTION func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : NATURAL) RETURN t_dp_sosi_integer IS
1196
    VARIABLE v_rec : t_dp_sosi_integer;
1197
  BEGIN
1198
    v_rec.sync     := slv_sosi.sync;
1199
    v_rec.bsn      := TO_UINT(slv_sosi.bsn(30 DOWNTO 0));         -- NATURAL'width = 31 bit
1200
    v_rec.data     := TO_SINT(slv_sosi.data(w-1 DOWNTO 0));
1201
    v_rec.re       := TO_SINT(slv_sosi.re(w-1 DOWNTO 0));
1202
    v_rec.im       := TO_SINT(slv_sosi.im(w-1 DOWNTO 0));
1203
    v_rec.valid    := slv_sosi.valid;
1204
    v_rec.sop      := slv_sosi.sop;
1205
    v_rec.eop      := slv_sosi.eop;
1206
    v_rec.empty    := TO_UINT(slv_sosi.empty);
1207
    v_rec.channel  := TO_UINT(slv_sosi.channel);
1208
    v_rec.err      := TO_UINT(slv_sosi.err);
1209
    RETURN v_rec;
1210
  END func_dp_stream_slv_to_integer;
1211
 
1212
  FUNCTION func_dp_stream_set_data(dp : t_dp_sosi; slv : STD_LOGIC_VECTOR; str : STRING) RETURN t_dp_sosi IS
1213
    VARIABLE v_dp : t_dp_sosi := dp;
1214
  BEGIN
1215
      IF    str="DATA" THEN v_dp.data := RESIZE_DP_DATA(slv);
1216
      ELSIF str="DSP"  THEN v_dp.re   := RESIZE_DP_DSP_DATA(slv);
1217
                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
1218
      ELSIF str="RE"  THEN  v_dp.re   := RESIZE_DP_DSP_DATA(slv);
1219
      ELSIF str="IM"  THEN  v_dp.im   := RESIZE_DP_DSP_DATA(slv);
1220
      ELSIF str="ALL" THEN  v_dp.data := RESIZE_DP_DATA(slv);
1221
                            v_dp.re   := RESIZE_DP_DSP_DATA(slv);
1222
                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
1223
      ELSE  REPORT "Error in func_dp_stream_set_data for t_dp_sosi";
1224
      END IF;
1225
    RETURN v_dp;
1226
  END;
1227
 
1228
  FUNCTION func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : STD_LOGIC_VECTOR; str : STRING) RETURN t_dp_sosi_arr IS
1229
    VARIABLE v_dp : t_dp_sosi_arr(dp'RANGE) := dp;
1230
  BEGIN
1231
    FOR I IN dp'RANGE LOOP
1232
      v_dp(I) := func_dp_stream_set_data(dp(I), slv, str);
1233
    END LOOP;
1234
    RETURN v_dp;
1235
  END;
1236
 
1237
  FUNCTION func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : STD_LOGIC_VECTOR; str : STRING; mask : STD_LOGIC_VECTOR) RETURN t_dp_sosi_arr IS
1238
    VARIABLE v_dp : t_dp_sosi_arr(dp'RANGE) := dp;
1239
  BEGIN
1240
    FOR I IN dp'RANGE LOOP
1241
      IF mask(I)='0' THEN
1242
        v_dp(I) := func_dp_stream_set_data(dp(I), slv, str);
1243
      END IF;
1244
    END LOOP;
1245
    RETURN v_dp;
1246
  END;
1247
 
1248
  -- Concatenate the data (and complex fields) from a SOSI array into a single SOSI stream (assumes streams are in sync)
1249
  FUNCTION func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : NATURAL) RETURN t_dp_sosi IS
1250
    VARIABLE v_src_out      : t_dp_sosi := snk_in_arr(0);
1251
    VARIABLE v_compl_data_w : NATURAL   := data_w/2;
1252
  BEGIN
1253
    FOR i IN snk_in_arr'RANGE LOOP
1254
      v_src_out.data((i+1)*        data_w-1 DOWNTO i*        data_w) := snk_in_arr(i).data(      data_w-1 DOWNTO 0);
1255
      v_src_out.re(  (i+1)*v_compl_data_w-1 DOWNTO i*v_compl_data_w) := snk_in_arr(i).re(v_compl_data_w-1 DOWNTO 0);
1256
      v_src_out.im(  (i+1)*v_compl_data_w-1 DOWNTO i*v_compl_data_w) := snk_in_arr(i).im(v_compl_data_w-1 DOWNTO 0);
1257
    END LOOP;
1258
    RETURN v_src_out;
1259
  END;
1260
 
1261
  FUNCTION func_dp_stream_concat(src_in : t_dp_siso; nof_streams : NATURAL) RETURN t_dp_siso_arr IS -- Wire single SISO to SISO_ARR
1262
    VARIABLE v_snk_out_arr : t_dp_siso_arr(nof_streams-1 DOWNTO 0);
1263
  BEGIN
1264
    FOR i IN v_snk_out_arr'RANGE LOOP
1265
      v_snk_out_arr(i) := src_in;
1266
    END LOOP;
1267
    RETURN v_snk_out_arr;
1268
  END;
1269
 
1270
  -- Deconcatenate data from SOSI into SOSI array
1271
  FUNCTION func_dp_stream_deconcat(snk_in : t_dp_sosi; nof_streams, data_w : NATURAL) RETURN t_dp_sosi_arr IS
1272
    VARIABLE v_src_out_arr  : t_dp_sosi_arr(nof_streams-1 DOWNTO 0);
1273
    VARIABLE v_compl_data_w : NATURAL := data_w/2;
1274
  BEGIN
1275
    FOR i IN v_src_out_arr'RANGE LOOP
1276
      v_src_out_arr(i) := snk_in;
1277
      v_src_out_arr(i).data := (OTHERS=>'0');
1278
      v_src_out_arr(i).re   := (OTHERS=>'0');
1279
      v_src_out_arr(i).im   := (OTHERS=>'0');
1280
      v_src_out_arr(i).data(        data_w-1 DOWNTO 0) := snk_in.data((i+1)*        data_w-1 DOWNTO i*        data_w);
1281
      v_src_out_arr(i).re(  v_compl_data_w-1 DOWNTO 0) := snk_in.re  ((i+1)*v_compl_data_w-1 DOWNTO i*v_compl_data_w);
1282
      v_src_out_arr(i).im(  v_compl_data_w-1 DOWNTO 0) := snk_in.im  ((i+1)*v_compl_data_w-1 DOWNTO i*v_compl_data_w);
1283
    END LOOP;
1284
    RETURN v_src_out_arr;
1285
  END;
1286
 
1287
  FUNCTION func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) RETURN t_dp_siso IS -- Wire SISO_ARR(0) to single SISO
1288
  BEGIN
1289
    RETURN src_out_arr(0);
1290
  END;
1291
 
1292
END dp_stream_pkg;
1293
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.