OpenCores
URL https://opencores.org/ocsvn/dp_repack_data/dp_repack_data/trunk

Subversion Repositories dp_repack_data

[/] [dp_repack_data/] [trunk/] [tb_tb_dp_repack_data.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
--
3
-- Copyright (C) 2015
4
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/>
6
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
7
--
8
-- This program is free software: you can redistribute it and/or modify
9
-- it under the terms of the GNU General Public License as published by
10
-- the Free Software Foundation, either version 3 of the License, or
11
-- (at your option) any later version.
12
--
13
-- This program is distributed in the hope that it will be useful,
14
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
15
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
16
-- GNU General Public License for more details.
17
--
18
-- You should have received a copy of the GNU General Public License
19
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
20
--
21
-------------------------------------------------------------------------------
22
 
23
LIBRARY IEEE, common_pkg_lib, dp_pkg_lib;
24
USE IEEE.std_logic_1164.ALL;
25
USE common_pkg_lib.common_pkg.ALL;
26
USE dp_pkg_lib.tb_dp_pkg.ALL;
27
 
28
-- Purpose: Verify multiple variations of tb_dp_repack_data
29
-- Description:
30
-- Usage:
31
-- > as 6
32
-- > run -all
33
 
34
ENTITY tb_tb_dp_repack_data IS
35
END tb_tb_dp_repack_data;
36
 
37
 
38
ARCHITECTURE tb OF tb_tb_dp_repack_data IS
39
 
40
  CONSTANT c_nof_repeat  : NATURAL := 5;
41
 
42
  CONSTANT c_flow        : t_dp_flow_control_enum_arr := c_dp_flow_control_enum_arr;
43
  CONSTANT c_bool        : t_nat_boolean_arr := c_nat_boolean_arr;
44
 
45
  SIGNAL tb_end : STD_LOGIC := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
46
 
47
BEGIN
48
 
49
  -- -- general
50
  -- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
51
  -- g_flow_control_verify    : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
52
  -- -- specific
53
  -- g_in_dat_w               : NATURAL := 5;
54
  -- g_in_nof_words           : NATURAL := 2;
55
  -- g_pack_dat_w             : NATURAL := 16;
56
  -- g_pack_nof_words         : NATURAL := 1;
57
  -- g_in_bypass              : BOOLEAN := FALSE;  -- can use TRUE when g_in_nof_words=1  or g_in_nof_words=g_out_nof_words
58
  -- g_pack_bypass            : BOOLEAN := FALSE;  -- can use TRUE when g_out_nof_words=1 or g_in_nof_words=g_out_nof_words
59
  -- g_in_symbol_w            : NATURAL := 1;      -- default 1 for snk_in.empty  in nof bits, else use power of 2
60
  -- g_pack_symbol_w          : NATURAL := 1;      -- default 1 for src_out.empty in nof bits, else use power of 2
61
  -- g_nof_repeat             : NATURAL := 10;
62
  -- g_pkt_len                : NATURAL := 11;     -- if not a multiple of g_in_nof_words then the input stage flush creates gap between blocks
63
  -- g_pkt_gap                : NATURAL := 0
64
 
65
  g_flow_control_stimuli : FOR I IN 0 TO 2 GENERATE    -- 0 = e_active, 1 = e_random, 2 = e_pulse
66
    g_flow_control_verify : FOR J IN 0 TO 2 GENERATE    -- 0 = e_active, 1 = e_random, 2 = e_pulse
67
 
68
      -------------------------------------------------------------------------
69
      -- Tests that can use bypass
70
      -- . g_in_nof_words = 1
71
      -- . g_out_nof_words = 1
72
      -- . g_in_nof_words = g_in_nof_words >= 1
73
      -------------------------------------------------------------------------
74
 
75
      gen_bool_bypass : FOR K IN 0 TO 1 GENERATE
76
        gen_bool_bypass : FOR L IN 0 TO 1 GENERATE
77
          -- no repack, g_in_nof_words = g_out_nof_words = 1
78
          u_16_1_16_1_len_10_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 16, 1, 16, 1, c_bool(K), c_bool(L), 1, 1, c_nof_repeat, 10, 0);  -- g_pkt_len > g_in_nof_words
79
          u_16_1_16_1_len_3_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 16, 1, 16, 1, c_bool(K), c_bool(L), 1, 1, c_nof_repeat,  3, 0);  -- g_pkt_len > g_in_nof_words, odd
80
          u_16_1_16_1_len_2_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 16, 1, 16, 1, c_bool(K), c_bool(L), 1, 1, c_nof_repeat,  2, 0);  -- g_pkt_len > g_in_nof_words, even
81
          u_16_1_16_1_len_1_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 16, 1, 16, 1, c_bool(K), c_bool(L), 1, 1, c_nof_repeat,  1, 0);  -- g_pkt_len = g_in_nof_words
82
 
83
          u_16_1_16_1_len_1_gap_1    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 16, 1, 16, 1, c_bool(K), c_bool(L), 1, 1, c_nof_repeat,  1, 1);  -- g_pkt_gap > 0
84
        END GENERATE;
85
 
86
        -- no repack, g_in_nof_words = g_out_nof_words > 1
87
        u_16_3_16_3_len_10_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  16, 3, 16, 3, c_bool(K), c_bool(K), 1, 1, c_nof_repeat, 10,  0);
88
 
89
        -- g_in_nof_words > g_pack_nof_words can use always active stimuli except when g_pkt_len MOD g_in_nof_words /= 0, because then the input stage needs to flush
90
        u_8_4_32_1_len_1_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat,  1,  0);  -- g_pkt_len < g_in_nof_words
91
        u_8_4_32_1_len_2_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat,  2,  0);  -- g_pkt_len = g_in_nof_words
92
        u_8_4_32_1_len_3_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat,  3,  0);  -- g_pkt_len > g_in_nof_words, MOD /= 0
93
        u_8_4_32_1_len_10_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 10,  0);  -- g_pkt_len > g_in_nof_words, MOD /= 0
94
        u_8_4_32_1_len_11_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 11,  0);  -- g_pkt_len > g_in_nof_words, MOD /= 0
95
        u_8_4_32_1_len_12_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 12,  0);  -- g_pkt_len > g_in_nof_words, MOD = 0
96
 
97
        u_8_4_32_1_len_12_gap_2    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 12,  2);  -- g_pkt_gap > 0
98
 
99
        -- g_in_nof_words < g_pack_nof_words will apply backpressure, because the output stage needs to output more
100
        u_32_1_8_4_len_1_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 8, 4, c_bool(K), FALSE, 1, 1, c_nof_repeat,  1,  0);  -- g_pkt_len = g_in_nof_words
101
        u_32_1_8_4_len_2_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 8, 4, c_bool(K), FALSE, 1, 1, c_nof_repeat,  2,  0);  -- g_pkt_len > g_in_nof_words
102
        u_32_1_8_4_len_3_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 8, 4, c_bool(K), FALSE, 1, 1, c_nof_repeat,  3,  0);  -- g_pkt_len > g_in_nof_words
103
        u_32_1_8_4_len_10_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 8, 4, c_bool(K), FALSE, 1, 1, c_nof_repeat, 10,  0);  -- g_pkt_len > g_in_nof_words
104
 
105
        u_32_1_8_4_len_11_gap_1    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 8, 4, c_bool(K), FALSE, 1, 1, c_nof_repeat, 11,  1);  -- g_pkt_gap > 0
106
 
107
        -- g_in_dat_w MOD 8 /= 0, g_in_nof_words=1
108
        u_14_1_8_2_len_10_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 14, 1, 8, 2, c_bool(K), FALSE, 1, 1, c_nof_repeat, 10,  0);  -- repack with subsection padding, even multiple of g_in_nof_words
109
        u_14_1_8_2_len_11_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 14, 1, 8, 2, c_bool(K), FALSE, 1, 1, c_nof_repeat, 11,  0);  -- repack with subsection padding, odd multiple of g_in_nof_words
110
 
111
        -- g_in_dat_w MOD 8 /= 0, g_out_nof_words=1
112
        u_5_2_16_1_len_10_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 5, 2, 16, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 10,  0);  -- repack with subsection padding, integer multiple of g_in_nof_words
113
        u_5_2_16_1_len_11_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 5, 2, 16, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 11,  0);  -- repack with subsection padding, fractional multiple of g_in_nof_words
114
 
115
        -- g_in_nof_words=1, g_pack_nof_words>1
116
        u_8_1_4_2_len_10_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   8, 1,  4,  2, c_bool(K), FALSE, 1, 1, c_nof_repeat, 10,  0);
117
        u_512_1_32_16_len_1_gap_20 : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 512, 1, 32, 16, c_bool(K), FALSE, 1, 1, c_nof_repeat,  1, 20);  -- pack a larger header slv into g_pack_dat_w words
118
 
119
        -- serialize to and deserialize from g_pack_dat_w=1 bit
120
        u_8_1_1_8_len_10_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 1, 1,  8, c_bool(K), FALSE, 1, 1, c_nof_repeat, 10,  0);  -- g_pack_dat_w=1
121
        u_32_1_1_32_len_10_gap_7   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 1, 32, c_bool(K), FALSE, 1, 1, c_nof_repeat, 10,  7);  -- g_pack_dat_w=1
122
 
123
        -- g_in_symbol_w /= 1, g_out_symbol_w /= 1
124
        u_20_1_8_3_symbol_1_4_len_10_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 20, 1, 8, 3, c_bool(K), FALSE, 1, 4, c_nof_repeat, 10, 0);  -- no repack
125
        u_20_1_8_3_symbol_4_1_len_10_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 20, 1, 8, 3, c_bool(K), FALSE, 4, 1, c_nof_repeat, 10, 0);  -- no repack
126
        u_20_1_8_3_symbol_4_4_len_10_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 20, 1, 8, 3, c_bool(K), FALSE, 4, 4, c_nof_repeat, 10, 0);  -- no repack
127
 
128
        -- pack ETH/IP/UDP header, g_in_symbol_w = 8, g_out_symbol_w = 8
129
        u_336_1_32_11_symbol_8_8_len_1_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 336, 1, 32, 11, c_bool(K), FALSE, 8, 8, c_nof_repeat, 1, 0);  --pack to 32 bit --> empty = 2
130
        u_336_1_64_6_symbol_8_8_len_1_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 336, 1, 64,  6, c_bool(K), FALSE, 8, 8, c_nof_repeat, 1, 0);  --pack to 64 bit --> empty = 6
131
      END GENERATE;
132
 
133
      -------------------------------------------------------------------------
134
      -- Tests that cannot use bypass
135
      -------------------------------------------------------------------------
136
 
137
      -- g_in_nof_words > 1 and g_pack_nof_words > 1
138
      u_24_2_16_3_len_1_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  1, 0);  -- g_pkt_len < g_in_nof_words
139
      u_24_2_16_3_len_2_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  2, 0);  -- g_pkt_len = g_in_nof_words
140
      u_24_2_16_3_len_3_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  3, 0);  -- g_pkt_len = fractional multiple of g_in_nof_words
141
      u_24_2_16_3_len_10_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 10, 0);  -- g_pkt_len = integer multiple of g_in_nof_words
142
      u_24_2_16_3_len_11_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 11, 0);  -- g_pkt_len = fractional multiple of g_in_nof_words
143
 
144
      u_24_2_16_3_len_11_gap_3   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 11, 3);  -- g_pkt_gap > 0
145
 
146
      -- g_in_dat_w MOD 8 /= 0
147
      u_6_5_10_3_len_1_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  1, 0);  -- g_pkt_len < g_in_nof_words
148
      u_6_5_10_3_len_2_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  2, 0);  -- g_pkt_len < g_in_nof_words
149
      u_6_5_10_3_len_3_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  3, 0);  -- g_pkt_len < g_in_nof_words
150
      u_6_5_10_3_len_4_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  4, 0);  -- g_pkt_len < g_in_nof_words
151
      u_6_5_10_3_len_5_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  5, 0);  -- g_pkt_len = g_in_nof_words
152
      u_6_5_10_3_len_10_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 10, 0);  -- g_pkt_len = integer multiple of g_in_nof_words
153
      u_6_5_10_3_len_11_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 11, 0);  -- g_pkt_len = fractional multiple of g_in_nof_words
154
 
155
      u_6_5_10_3_len_21_gap_3    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 21, 3);  -- g_pkt_gap > 0
156
 
157
      -- subsection padding, g_in_dat_w * g_in_nof_words < g_pack_dat_w * g_pack_nof_words
158
      u_18_2_8_5_len_1_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  18, 2,  8, 5, FALSE, FALSE, 1, 1, c_nof_repeat,  1, 0);  -- g_pkt_len < g_in_nof_words
159
      u_18_2_8_5_len_2_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  18, 2,  8, 5, FALSE, FALSE, 1, 1, c_nof_repeat,  2, 0);  -- g_pkt_len = g_in_nof_words
160
      u_18_2_8_5_len_3_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  18, 2,  8, 5, FALSE, FALSE, 1, 1, c_nof_repeat,  3, 0);  -- g_pkt_len = fractional multiple of g_in_nof_words
161
      u_18_2_8_5_len_10_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  18, 2,  8, 5, FALSE, FALSE, 1, 1, c_nof_repeat, 10, 0);  -- g_pkt_len = integer multiple of g_in_nof_words
162
 
163
    END GENERATE;
164
  END GENERATE;
165
 
166
END tb;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.