OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fpmult_stage_pre_comp.vhdl] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 aloy.amber
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
use work.fp_generic.all;
5
use work.fpmult_stage0_comp.all;
6
 
7
package fpmult_stage_pre_comp is
8
        type fpmult_stage_pre_in_type is record
9
                a:fp_type;
10
                b:fp_type;
11
        end record;
12
 
13
        alias fpmult_stage_pre_out_type is fpmult_stage0_in_type;
14
 
15
        component fpmult_stage_pre is
16
                port(
17
                        clk:in std_logic;
18
                        d:in fpmult_stage_pre_in_type;
19
                        q:out fpmult_stage_pre_out_type
20
                );
21
        end component;
22
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.