OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_14/] [bench/] [verilog/] [tb_ethernet.v] - Blame information for rev 158

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 116 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  tb_ethernet.v                                               ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects/ethmac/                   ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001, 2002 Authors                             ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44 158 mohor
// Revision 1.4  2002/09/06 11:03:24  mohor
45
// Valid testbench.
46
//
47 156 mohor
// Revision 1.3  2002/07/23 16:34:31  mohor
48
// gsr added for use when ETH_XILINX_RAMB4 define is set.
49
//
50 121 mohor
// Revision 1.2  2002/07/19 14:02:47  mohor
51
// Clock mrx_clk set to 2.5 MHz.
52
//
53 117 mohor
// Revision 1.1  2002/07/19 13:57:53  mohor
54
// Testing environment also includes traffic cop, memory interface and host
55
// interface.
56 116 mohor
//
57
//
58
//
59
//
60 117 mohor
//
61 116 mohor
 
62
 
63
 
64
`include "tb_eth_defines.v"
65
`include "eth_defines.v"
66
`include "timescale.v"
67
 
68
module tb_ethernet();
69
 
70
 
71
parameter Tp = 1;
72
 
73
 
74
reg           wb_clk_o;
75
reg           wb_rst_o;
76
 
77
reg           mtx_clk;
78
reg           mrx_clk;
79
 
80
wire   [3:0]  MTxD;
81
wire          MTxEn;
82
wire          MTxErr;
83
 
84
reg    [3:0]  MRxD;     // This goes to PHY
85
reg           MRxDV;    // This goes to PHY
86
reg           MRxErr;   // This goes to PHY
87
reg           MColl;    // This goes to PHY
88
reg           MCrs;     // This goes to PHY
89
 
90
wire          Mdi_I;
91
wire          Mdo_O;
92
wire          Mdo_OE;
93
wire          Mdc_O;
94
 
95
integer tx_log;
96
integer rx_log;
97
 
98
reg StartTB;
99
 
100 121 mohor
`ifdef ETH_XILINX_RAMB4
101
  reg gsr;
102
`endif
103
 
104
 
105 116 mohor
integer packet_ready_cnt, send_packet_cnt;
106
 
107
 
108
// Ethernet Slave Interface signals
109
wire [31:0] eth_sl_wb_adr_i, eth_sl_wb_dat_o, eth_sl_wb_dat_i;
110
wire  [3:0] eth_sl_wb_sel_i;
111
wire        eth_sl_wb_we_i, eth_sl_wb_cyc_i, eth_sl_wb_stb_i, eth_sl_wb_ack_o, eth_sl_wb_err_o;
112
 
113
// Memory Slave Interface signals
114
wire [31:0] mem_sl_wb_adr_i, mem_sl_wb_dat_o, mem_sl_wb_dat_i;
115
wire  [3:0] mem_sl_wb_sel_i;
116
wire        mem_sl_wb_we_i, mem_sl_wb_cyc_i, mem_sl_wb_stb_i, mem_sl_wb_ack_o, mem_sl_wb_err_o;
117
 
118
// Ethernet Master Interface signals
119
wire [31:0] eth_ma_wb_adr_o, eth_ma_wb_dat_i, eth_ma_wb_dat_o;
120
wire  [3:0] eth_ma_wb_sel_o;
121
wire        eth_ma_wb_we_o, eth_ma_wb_cyc_o, eth_ma_wb_stb_o, eth_ma_wb_ack_i, eth_ma_wb_err_i;
122
 
123
// Host Master Interface signals
124
wire [31:0] host_ma_wb_adr_o, host_ma_wb_dat_i, host_ma_wb_dat_o;
125
wire  [3:0] host_ma_wb_sel_o;
126
wire        host_ma_wb_we_o, host_ma_wb_cyc_o, host_ma_wb_stb_o, host_ma_wb_ack_i, host_ma_wb_err_i;
127
 
128
 
129
 
130
eth_cop i_eth_cop
131
(
132
  // WISHBONE common
133
  .wb_clk_i(wb_clk_o), .wb_rst_i(wb_rst_o),
134
 
135
  // WISHBONE MASTER 1  Ethernet Master Interface is connected here
136
  .m1_wb_adr_i(eth_ma_wb_adr_o),  .m1_wb_sel_i(eth_ma_wb_sel_o),  .m1_wb_we_i (eth_ma_wb_we_o),
137
  .m1_wb_dat_o(eth_ma_wb_dat_i),  .m1_wb_dat_i(eth_ma_wb_dat_o),  .m1_wb_cyc_i(eth_ma_wb_cyc_o),
138
  .m1_wb_stb_i(eth_ma_wb_stb_o),  .m1_wb_ack_o(eth_ma_wb_ack_i),  .m1_wb_err_o(eth_ma_wb_err_i),
139
 
140
  // WISHBONE MASTER 2  Host Interface is connected here
141
  .m2_wb_adr_i(host_ma_wb_adr_o), .m2_wb_sel_i(host_ma_wb_sel_o), .m2_wb_we_i (host_ma_wb_we_o),
142
  .m2_wb_dat_o(host_ma_wb_dat_i), .m2_wb_dat_i(host_ma_wb_dat_o), .m2_wb_cyc_i(host_ma_wb_cyc_o),
143
  .m2_wb_stb_i(host_ma_wb_stb_o), .m2_wb_ack_o(host_ma_wb_ack_i), .m2_wb_err_o(host_ma_wb_err_i),
144
 
145
  // WISHBONE slave 1   Ethernet Slave Interface is connected here
146
        .s1_wb_adr_o(eth_sl_wb_adr_i),  .s1_wb_sel_o(eth_sl_wb_sel_i),  .s1_wb_we_o (eth_sl_wb_we_i),
147
        .s1_wb_cyc_o(eth_sl_wb_cyc_i),  .s1_wb_stb_o(eth_sl_wb_stb_i),  .s1_wb_ack_i(eth_sl_wb_ack_o),
148
        .s1_wb_err_i(eth_sl_wb_err_o),  .s1_wb_dat_i(eth_sl_wb_dat_o),  .s1_wb_dat_o(eth_sl_wb_dat_i),
149
 
150
  // WISHBONE slave 2   Memory Interface is connected here
151
        .s2_wb_adr_o(mem_sl_wb_adr_i),  .s2_wb_sel_o(mem_sl_wb_sel_i),  .s2_wb_we_o (mem_sl_wb_we_i),
152
        .s2_wb_cyc_o(mem_sl_wb_cyc_i),  .s2_wb_stb_o(mem_sl_wb_stb_i),  .s2_wb_ack_i(mem_sl_wb_ack_o),
153
        .s2_wb_err_i(mem_sl_wb_err_o),  .s2_wb_dat_i(mem_sl_wb_dat_o),  .s2_wb_dat_o(mem_sl_wb_dat_i)
154
);
155
 
156
 
157
 
158
 
159
// Connecting Ethernet top module
160
eth_top ethtop
161
(
162
  // WISHBONE common
163
  .wb_clk_i(wb_clk_o),              .wb_rst_i(wb_rst_o),
164
 
165
  // WISHBONE slave
166
        .wb_adr_i(eth_sl_wb_adr_i[11:2]), .wb_sel_i(eth_sl_wb_sel_i),   .wb_we_i(eth_sl_wb_we_i),
167
        .wb_cyc_i(eth_sl_wb_cyc_i),       .wb_stb_i(eth_sl_wb_stb_i),   .wb_ack_o(eth_sl_wb_ack_o),
168
        .wb_err_o(eth_sl_wb_err_o),       .wb_dat_i(eth_sl_wb_dat_i),   .wb_dat_o(eth_sl_wb_dat_o),
169
 
170
  // WISHBONE master
171
  .m_wb_adr_o(eth_ma_wb_adr_o),     .m_wb_sel_o(eth_ma_wb_sel_o), .m_wb_we_o(eth_ma_wb_we_o),
172
  .m_wb_dat_i(eth_ma_wb_dat_i),     .m_wb_dat_o(eth_ma_wb_dat_o), .m_wb_cyc_o(eth_ma_wb_cyc_o),
173
  .m_wb_stb_o(eth_ma_wb_stb_o),     .m_wb_ack_i(eth_ma_wb_ack_i), .m_wb_err_i(eth_ma_wb_err_i),
174
 
175
  //TX
176
  .mtx_clk_pad_i(mtx_clk), .mtxd_pad_o(MTxD), .mtxen_pad_o(MTxEn), .mtxerr_pad_o(MTxErr),
177
 
178
  //RX
179
  .mrx_clk_pad_i(mrx_clk), .mrxd_pad_i(MRxD), .mrxdv_pad_i(MRxDV), .mrxerr_pad_i(MRxErr),
180
  .mcoll_pad_i(MColl),    .mcrs_pad_i(MCrs),
181
 
182
  // MIIM
183
  .mdc_pad_o(Mdc_O), .md_pad_i(Mdi_I), .md_pad_o(Mdo_O), .md_padoe_o(Mdo_OE),
184
 
185
  .int_o()
186
);
187
 
188
 
189
 
190
// Connecting Memory Interface Module
191
eth_memory i_eth_memory
192
(
193
  // WISHBONE common
194
        .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
195
 
196
  // WISHBONE slave:   Memory Interface is connected here
197
        .wb_adr_i(mem_sl_wb_adr_i),  .wb_sel_i(mem_sl_wb_sel_i),  .wb_we_i (mem_sl_wb_we_i),
198
        .wb_cyc_i(mem_sl_wb_cyc_i),  .wb_stb_i(mem_sl_wb_stb_i),  .wb_ack_o(mem_sl_wb_ack_o),
199
        .wb_err_o(mem_sl_wb_err_o),  .wb_dat_o(mem_sl_wb_dat_o),  .wb_dat_i(mem_sl_wb_dat_i)
200
);
201
 
202
 
203
// Connecting Host Interface
204
eth_host eth_host
205
(
206
  // WISHBONE common
207
  .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
208
 
209
  // WISHBONE master
210
  .wb_adr_o(host_ma_wb_adr_o), .wb_sel_o(host_ma_wb_sel_o), .wb_we_o (host_ma_wb_we_o),
211
  .wb_dat_i(host_ma_wb_dat_i), .wb_dat_o(host_ma_wb_dat_o), .wb_cyc_o(host_ma_wb_cyc_o),
212
  .wb_stb_o(host_ma_wb_stb_o), .wb_ack_i(host_ma_wb_ack_i), .wb_err_i(host_ma_wb_err_i)
213
);
214
 
215
 
216
 
217
 
218
 
219
// Reset pulse
220
initial
221
begin
222
  MCrs=0;                                     // This should come from PHY
223
  MColl=0;                                    // This should come from PHY
224
  MRxD=0;                                     // This should come from PHY
225
  MRxDV=0;                                    // This should come from PHY
226
  MRxErr=0;                                   // This should come from PHY
227
  packet_ready_cnt = 0;
228
  send_packet_cnt = 0;
229
  tx_log = $fopen("ethernet_tx.log");
230
  rx_log = $fopen("ethernet_rx.log");
231
  wb_rst_o =  1'b1;
232 121 mohor
`ifdef ETH_XILINX_RAMB4
233
  gsr           =  1'b0;
234
  #100 gsr      =  1'b1;
235
  #100 gsr      =  1'b0;
236
`endif
237 116 mohor
  #100 wb_rst_o =  1'b0;
238
  #100 StartTB  =  1'b1;
239
end
240
 
241 121 mohor
`ifdef ETH_XILINX_RAMB4
242
  assign glbl.GSR = gsr;
243
`endif
244 116 mohor
 
245
 
246 121 mohor
 
247 116 mohor
// Generating wb_clk_o clock
248
initial
249
begin
250
  wb_clk_o=0;
251
//  forever #2.5 wb_clk_o = ~wb_clk_o;  // 2*2.5 ns -> 200.0 MHz    
252
//  forever #5 wb_clk_o = ~wb_clk_o;  // 2*5 ns -> 100.0 MHz    
253
//  forever #10 wb_clk_o = ~wb_clk_o;  // 2*10 ns -> 50.0 MHz    
254 156 mohor
//  forever #12.5 wb_clk_o = ~wb_clk_o;  // 2*12.5 ns -> 40 MHz    
255 116 mohor
//  forever #15 wb_clk_o = ~wb_clk_o;  // 2*10 ns -> 33.3 MHz    
256 156 mohor
  forever #20 wb_clk_o = ~wb_clk_o;  // 2*20 ns -> 25 MHz    
257 116 mohor
//  forever #25 wb_clk_o = ~wb_clk_o;  // 2*25 ns -> 20.0 MHz
258
//  forever #31.25 wb_clk_o = ~wb_clk_o;  // 2*31.25 ns -> 16.0 MHz    
259
//  forever #50 wb_clk_o = ~wb_clk_o;  // 2*50 ns -> 10.0 MHz
260
//  forever #55 wb_clk_o = ~wb_clk_o;  // 2*55 ns ->  9.1 MHz    
261
end
262
 
263
// Generating mtx_clk clock
264
initial
265
begin
266
  mtx_clk=0;
267 156 mohor
  #3 forever #20 mtx_clk = ~mtx_clk;   // 2*20 ns -> 25 MHz
268
//  #3 forever #200 mtx_clk = ~mtx_clk;   // 2*200 ns -> 2.5 MHz
269 116 mohor
end
270
 
271
// Generating mrx_clk clock
272
initial
273
begin
274
  mrx_clk=0;
275 156 mohor
  #16 forever #20 mrx_clk = ~mrx_clk;   // 2*20 ns -> 25 MHz
276
//  #16 forever #200 mrx_clk = ~mrx_clk;   // 2*200 ns -> 2.5 MHz
277 116 mohor
end
278
 
279
reg [31:0] tmp;
280
initial
281
begin
282
  wait(StartTB);  // Start of testbench
283
 
284
 
285
  eth_host.wb_write(`ETH_MODER, 4'hf, 32'h0); // Reset OFF
286
  eth_host.wb_read(`ETH_MODER, 4'hf, tmp);
287 156 mohor
  eth_host.wb_write(`ETH_MAC_ADDR1, 4'hf, 32'h0002); // Set ETH_MAC_ADDR1 register
288
  eth_host.wb_write(`ETH_MAC_ADDR0, 4'hf, 32'h03040506); // Set ETH_MAC_ADDR0 register
289 116 mohor
 
290
  initialize_txbd(3);
291 156 mohor
  initialize_rxbd(2);
292 116 mohor
 
293 156 mohor
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO | 
294
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
295
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | 
296
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
297
  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_BRO |
298
                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
299
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO | 
300
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD | `ETH_MODER_LOOPBCK); // Set MODER register
301
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO | 
302
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD | `ETH_MODER_LOOPBCK | 
303
//                                      `ETH_MODER_FULLD); // Set MODER register
304
  eth_host.wb_read(`ETH_MODER, 4'hf, tmp);
305
 
306
  set_packet(16'h64, 8'h1);
307 116 mohor
  set_packet(16'h34, 8'h11);
308
  send_packet;
309
  set_packet(16'h34, 8'h21);
310
  set_packet(16'h34, 8'h31);
311 156 mohor
/*
312
  eth_host.wb_write(`ETH_CTRLMODER, 4'hf, 32'h4);   // Enable Tx Flow control
313
  eth_host.wb_write(`ETH_CTRLMODER, 4'hf, 32'h5);   // Enable Tx Flow control
314
  eth_host.wb_write(`ETH_TX_CTRL, 4'hf, 32'h10013); // Send Control frame with PAUSE_TV=0x0013
315
*/
316 116 mohor
  send_packet;
317
 
318 158 mohor
 
319 156 mohor
  GetDataOnMRxD(100, `UNICAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
320 116 mohor
 
321 156 mohor
  repeat (1000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
322 116 mohor
 
323 156 mohor
  GetDataOnMRxD(500, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
324 116 mohor
 
325 156 mohor
  repeat (1000) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
326 116 mohor
 
327
 
328 156 mohor
  GetDataOnMRxD(1200, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
329
 
330
 
331
  GetDataOnMRxD(1000, `UNICAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
332
 
333 116 mohor
  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
334
 
335 156 mohor
  // Reading and printing interrupts
336
  eth_host.wb_read(`ETH_INT, 4'hf, tmp);
337
  $display("Print irq = 0x%0x", tmp);
338
 
339
  //Clearing all interrupts
340
  eth_host.wb_write(`ETH_INT, 4'hf, 32'h60);
341
 
342
  // Reading and printing interrupts
343
  eth_host.wb_read(`ETH_INT, 4'hf, tmp);
344
  $display("Print irq = 0x%0x", tmp);
345
 
346 116 mohor
  $display("\n\n End of simulation");
347
  $stop;
348
 
349
 
350
 
351
end
352
 
353
 
354
 
355
task initialize_txbd;
356
  input [6:0] txbd_num;
357
 
358
  integer i;
359
  integer bd_status_addr, buf_addr, bd_ptr_addr;
360
 
361
  for(i=0; i<txbd_num; i=i+1) begin
362
    buf_addr = `TX_BUF_BASE + i * 32'h600;
363
    bd_status_addr = `TX_BD_BASE + i * 8;
364
    bd_ptr_addr = bd_status_addr + 4;
365
 
366
    // Initializing BD - status
367
    if(i==txbd_num-1)
368
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00007800);    // last BD: + WRAP
369
    else
370
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00005800);    // IRQ + PAD + CRC
371
 
372
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
373
  end
374
endtask // initialize_txbd
375
 
376
 
377
task initialize_rxbd;
378
  input [6:0] rxbd_num;
379
 
380
  integer i;
381
  integer bd_status_addr, buf_addr, bd_ptr_addr;
382
 
383
  for(i=0; i<rxbd_num; i=i+1) begin
384
    buf_addr = `RX_BUF_BASE + i * 32'h600;
385
    bd_status_addr = `RX_BD_BASE + i * 8;
386
    bd_ptr_addr = bd_status_addr + 4;
387
 
388
    // Initializing BD - status
389
    if(i==rxbd_num-1)
390
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000e000);    // last BD: + WRAP
391
    else
392
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000c000);    // IRQ + PAD + CRC
393
 
394
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
395
  end
396
endtask // initialize_rxbd
397
 
398
 
399
task set_packet;
400
  input  [15:0] len;
401
  input   [7:0] start_data;
402
 
403
  integer i, sd;
404
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
405
 
406
  begin
407
    sd = start_data;
408
    bd_status_addr = `TX_BD_BASE + packet_ready_cnt * 8;
409
    bd_ptr_addr = bd_status_addr + 4;
410
 
411
    // Reading BD + buffer pointer
412
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
413
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
414
 
415
    while(bd & `ETH_TX_BD_READY) begin  // Buffer is ready. Don't touch !!!
416
      repeat(100) @(posedge wb_clk_o);
417
      i=i+1;
418
      eth_host.wb_read(bd_status_addr, 4'hf, bd);
419
      if(i>1000)  begin
420
        $display("(%0t)(%m)Waiting for TxBD ready to clear timeout", $time);
421
        $stop;
422
      end
423
    end
424
 
425
    // First write might not be word allign.
426
    if(buffer[1:0]==1)  begin
427
      eth_host.wb_write(buffer-1, 4'h7, {8'h0, sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2});
428
      sd=sd+3;
429
      i=3;
430
    end
431
    else if(buffer[1:0]==2)  begin
432
      eth_host.wb_write(buffer-2, 4'h3, {16'h0, sd[7:0], sd[7:0]+3'h1});
433
      sd=sd+2;
434
      i=2;
435
    end
436
    else if(buffer[1:0]==3)  begin
437
      eth_host.wb_write(buffer-3, 4'h1, {24'h0, sd[7:0]});
438
      sd=sd+1;
439
      i=1;
440
    end
441
    else
442
      i=0;
443
 
444
 
445
    for(i=i; i<len-4; i=i+4) begin   // Last 0-3 bytes are not written
446
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
447
      sd=sd+4;
448
    end
449
 
450
 
451
    // Last word
452
    if(len-i==3)
453
      eth_host.wb_write(buffer+i, 4'he, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, 8'h0});
454
    else if(len-i==2)
455
      eth_host.wb_write(buffer+i, 4'hc, {sd[7:0], sd[7:0]+3'h1, 16'h0});
456
    else if(len-i==1)
457
      eth_host.wb_write(buffer+i, 4'h8, {sd[7:0], 24'h0});
458
    else if(len-i==4)
459
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
460
    else
461
      $display("(%0t)(%m) ERROR", $time);
462
 
463
 
464
    // Checking WRAP bit
465
    if(bd & `ETH_TX_BD_WRAP)
466
      packet_ready_cnt = 0;
467
    else
468
      packet_ready_cnt = packet_ready_cnt+1;
469
 
470
    // Writing len to bd
471
    bd = bd | (len<<16);
472
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
473
 
474
  end
475
endtask // set_packet
476
 
477
 
478
task send_packet;
479
 
480
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
481
 
482
  begin
483
    bd_status_addr = `TX_BD_BASE + send_packet_cnt * 8;
484
    bd_ptr_addr = bd_status_addr + 4;
485
 
486
    // Reading BD + buffer pointer
487
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
488
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
489
 
490
    if(bd & `ETH_TX_BD_WRAP)
491
      send_packet_cnt=0;
492
    else
493
      send_packet_cnt=send_packet_cnt+1;
494
 
495
    // Setting ETH_TX_BD_READY bit
496
    bd = bd | `ETH_TX_BD_READY;
497
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
498
  end
499
 
500
 
501
endtask // send_packet
502
 
503
 
504
task GetDataOnMRxD;
505
  input [15:0] Len;
506
  input [31:0] TransferType;
507
  integer tt;
508
 
509
  begin
510
    @ (posedge mrx_clk);
511
    #1MRxDV=1'b1;
512
 
513
    for(tt=0; tt<15; tt=tt+1)
514
      begin
515
        MRxD=4'h5;              // preamble
516
        @ (posedge mrx_clk);
517
        #1;
518
      end
519
 
520
    MRxD=4'hd;                // SFD
521
 
522
    for(tt=1; tt<(Len+1); tt=tt+1)
523
      begin
524
        @ (posedge mrx_clk);
525
        #1;
526
            if(TransferType == `UNICAST_XFR && tt == 1)
527
                MRxD= 4'h0;   // Unicast transfer
528
              else if(TransferType == `BROADCAST_XFR && tt < 7)
529
                MRxD = 4'hf;
530
              else
531
          MRxD=tt[3:0]; // Multicast transfer
532
 
533
        @ (posedge mrx_clk);
534
              #1;
535
              if(TransferType == `BROADCAST_XFR && tt < 7)
536
                MRxD = 4'hf;
537
              else
538
          MRxD=tt[7:4];
539
      end
540
 
541
    @ (posedge mrx_clk);
542
    #1;
543
    MRxDV=1'b0;
544
  end
545
endtask // GetDataOnMRxD
546
 
547
 
548
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.