OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_4/] [bench/] [verilog/] [tb_ethernet.v] - Blame information for rev 116

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 116 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  tb_ethernet.v                                               ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects/ethmac/                   ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001, 2002 Authors                             ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44
//
45
//
46
//
47
//
48
 
49
 
50
 
51
`include "tb_eth_defines.v"
52
`include "eth_defines.v"
53
`include "timescale.v"
54
 
55
module tb_ethernet();
56
 
57
 
58
parameter Tp = 1;
59
 
60
 
61
reg           wb_clk_o;
62
reg           wb_rst_o;
63
 
64
reg           mtx_clk;
65
reg           mrx_clk;
66
 
67
wire   [3:0]  MTxD;
68
wire          MTxEn;
69
wire          MTxErr;
70
 
71
reg    [3:0]  MRxD;     // This goes to PHY
72
reg           MRxDV;    // This goes to PHY
73
reg           MRxErr;   // This goes to PHY
74
reg           MColl;    // This goes to PHY
75
reg           MCrs;     // This goes to PHY
76
 
77
wire          Mdi_I;
78
wire          Mdo_O;
79
wire          Mdo_OE;
80
wire          Mdc_O;
81
 
82
integer tx_log;
83
integer rx_log;
84
 
85
reg StartTB;
86
 
87
integer packet_ready_cnt, send_packet_cnt;
88
 
89
 
90
// Ethernet Slave Interface signals
91
wire [31:0] eth_sl_wb_adr_i, eth_sl_wb_dat_o, eth_sl_wb_dat_i;
92
wire  [3:0] eth_sl_wb_sel_i;
93
wire        eth_sl_wb_we_i, eth_sl_wb_cyc_i, eth_sl_wb_stb_i, eth_sl_wb_ack_o, eth_sl_wb_err_o;
94
 
95
// Memory Slave Interface signals
96
wire [31:0] mem_sl_wb_adr_i, mem_sl_wb_dat_o, mem_sl_wb_dat_i;
97
wire  [3:0] mem_sl_wb_sel_i;
98
wire        mem_sl_wb_we_i, mem_sl_wb_cyc_i, mem_sl_wb_stb_i, mem_sl_wb_ack_o, mem_sl_wb_err_o;
99
 
100
// Ethernet Master Interface signals
101
wire [31:0] eth_ma_wb_adr_o, eth_ma_wb_dat_i, eth_ma_wb_dat_o;
102
wire  [3:0] eth_ma_wb_sel_o;
103
wire        eth_ma_wb_we_o, eth_ma_wb_cyc_o, eth_ma_wb_stb_o, eth_ma_wb_ack_i, eth_ma_wb_err_i;
104
 
105
// Host Master Interface signals
106
wire [31:0] host_ma_wb_adr_o, host_ma_wb_dat_i, host_ma_wb_dat_o;
107
wire  [3:0] host_ma_wb_sel_o;
108
wire        host_ma_wb_we_o, host_ma_wb_cyc_o, host_ma_wb_stb_o, host_ma_wb_ack_i, host_ma_wb_err_i;
109
 
110
 
111
 
112
eth_cop i_eth_cop
113
(
114
  // WISHBONE common
115
  .wb_clk_i(wb_clk_o), .wb_rst_i(wb_rst_o),
116
 
117
  // WISHBONE MASTER 1  Ethernet Master Interface is connected here
118
  .m1_wb_adr_i(eth_ma_wb_adr_o),  .m1_wb_sel_i(eth_ma_wb_sel_o),  .m1_wb_we_i (eth_ma_wb_we_o),
119
  .m1_wb_dat_o(eth_ma_wb_dat_i),  .m1_wb_dat_i(eth_ma_wb_dat_o),  .m1_wb_cyc_i(eth_ma_wb_cyc_o),
120
  .m1_wb_stb_i(eth_ma_wb_stb_o),  .m1_wb_ack_o(eth_ma_wb_ack_i),  .m1_wb_err_o(eth_ma_wb_err_i),
121
 
122
  // WISHBONE MASTER 2  Host Interface is connected here
123
  .m2_wb_adr_i(host_ma_wb_adr_o), .m2_wb_sel_i(host_ma_wb_sel_o), .m2_wb_we_i (host_ma_wb_we_o),
124
  .m2_wb_dat_o(host_ma_wb_dat_i), .m2_wb_dat_i(host_ma_wb_dat_o), .m2_wb_cyc_i(host_ma_wb_cyc_o),
125
  .m2_wb_stb_i(host_ma_wb_stb_o), .m2_wb_ack_o(host_ma_wb_ack_i), .m2_wb_err_o(host_ma_wb_err_i),
126
 
127
  // WISHBONE slave 1   Ethernet Slave Interface is connected here
128
        .s1_wb_adr_o(eth_sl_wb_adr_i),  .s1_wb_sel_o(eth_sl_wb_sel_i),  .s1_wb_we_o (eth_sl_wb_we_i),
129
        .s1_wb_cyc_o(eth_sl_wb_cyc_i),  .s1_wb_stb_o(eth_sl_wb_stb_i),  .s1_wb_ack_i(eth_sl_wb_ack_o),
130
        .s1_wb_err_i(eth_sl_wb_err_o),  .s1_wb_dat_i(eth_sl_wb_dat_o),  .s1_wb_dat_o(eth_sl_wb_dat_i),
131
 
132
  // WISHBONE slave 2   Memory Interface is connected here
133
        .s2_wb_adr_o(mem_sl_wb_adr_i),  .s2_wb_sel_o(mem_sl_wb_sel_i),  .s2_wb_we_o (mem_sl_wb_we_i),
134
        .s2_wb_cyc_o(mem_sl_wb_cyc_i),  .s2_wb_stb_o(mem_sl_wb_stb_i),  .s2_wb_ack_i(mem_sl_wb_ack_o),
135
        .s2_wb_err_i(mem_sl_wb_err_o),  .s2_wb_dat_i(mem_sl_wb_dat_o),  .s2_wb_dat_o(mem_sl_wb_dat_i)
136
);
137
 
138
 
139
 
140
 
141
// Connecting Ethernet top module
142
eth_top ethtop
143
(
144
  // WISHBONE common
145
  .wb_clk_i(wb_clk_o),              .wb_rst_i(wb_rst_o),
146
 
147
  // WISHBONE slave
148
        .wb_adr_i(eth_sl_wb_adr_i[11:2]), .wb_sel_i(eth_sl_wb_sel_i),   .wb_we_i(eth_sl_wb_we_i),
149
        .wb_cyc_i(eth_sl_wb_cyc_i),       .wb_stb_i(eth_sl_wb_stb_i),   .wb_ack_o(eth_sl_wb_ack_o),
150
        .wb_err_o(eth_sl_wb_err_o),       .wb_dat_i(eth_sl_wb_dat_i),   .wb_dat_o(eth_sl_wb_dat_o),
151
 
152
  // WISHBONE master
153
  .m_wb_adr_o(eth_ma_wb_adr_o),     .m_wb_sel_o(eth_ma_wb_sel_o), .m_wb_we_o(eth_ma_wb_we_o),
154
  .m_wb_dat_i(eth_ma_wb_dat_i),     .m_wb_dat_o(eth_ma_wb_dat_o), .m_wb_cyc_o(eth_ma_wb_cyc_o),
155
  .m_wb_stb_o(eth_ma_wb_stb_o),     .m_wb_ack_i(eth_ma_wb_ack_i), .m_wb_err_i(eth_ma_wb_err_i),
156
 
157
  //TX
158
  .mtx_clk_pad_i(mtx_clk), .mtxd_pad_o(MTxD), .mtxen_pad_o(MTxEn), .mtxerr_pad_o(MTxErr),
159
 
160
  //RX
161
  .mrx_clk_pad_i(mrx_clk), .mrxd_pad_i(MRxD), .mrxdv_pad_i(MRxDV), .mrxerr_pad_i(MRxErr),
162
  .mcoll_pad_i(MColl),    .mcrs_pad_i(MCrs),
163
 
164
  // MIIM
165
  .mdc_pad_o(Mdc_O), .md_pad_i(Mdi_I), .md_pad_o(Mdo_O), .md_padoe_o(Mdo_OE),
166
 
167
  .int_o()
168
);
169
 
170
 
171
 
172
// Connecting Memory Interface Module
173
eth_memory i_eth_memory
174
(
175
  // WISHBONE common
176
        .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
177
 
178
  // WISHBONE slave:   Memory Interface is connected here
179
        .wb_adr_i(mem_sl_wb_adr_i),  .wb_sel_i(mem_sl_wb_sel_i),  .wb_we_i (mem_sl_wb_we_i),
180
        .wb_cyc_i(mem_sl_wb_cyc_i),  .wb_stb_i(mem_sl_wb_stb_i),  .wb_ack_o(mem_sl_wb_ack_o),
181
        .wb_err_o(mem_sl_wb_err_o),  .wb_dat_o(mem_sl_wb_dat_o),  .wb_dat_i(mem_sl_wb_dat_i)
182
);
183
 
184
 
185
// Connecting Host Interface
186
eth_host eth_host
187
(
188
  // WISHBONE common
189
  .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
190
 
191
  // WISHBONE master
192
  .wb_adr_o(host_ma_wb_adr_o), .wb_sel_o(host_ma_wb_sel_o), .wb_we_o (host_ma_wb_we_o),
193
  .wb_dat_i(host_ma_wb_dat_i), .wb_dat_o(host_ma_wb_dat_o), .wb_cyc_o(host_ma_wb_cyc_o),
194
  .wb_stb_o(host_ma_wb_stb_o), .wb_ack_i(host_ma_wb_ack_i), .wb_err_i(host_ma_wb_err_i)
195
);
196
 
197
 
198
 
199
 
200
 
201
// Reset pulse
202
initial
203
begin
204
  MCrs=0;                                     // This should come from PHY
205
  MColl=0;                                    // This should come from PHY
206
  MRxD=0;                                     // This should come from PHY
207
  MRxDV=0;                                    // This should come from PHY
208
  MRxErr=0;                                   // This should come from PHY
209
  packet_ready_cnt = 0;
210
  send_packet_cnt = 0;
211
  tx_log = $fopen("ethernet_tx.log");
212
  rx_log = $fopen("ethernet_rx.log");
213
  wb_rst_o =  1'b1;
214
  #100 wb_rst_o =  1'b0;
215
  #100 StartTB  =  1'b1;
216
end
217
 
218
 
219
 
220
// Generating wb_clk_o clock
221
initial
222
begin
223
  wb_clk_o=0;
224
//  forever #2.5 wb_clk_o = ~wb_clk_o;  // 2*2.5 ns -> 200.0 MHz    
225
//  forever #5 wb_clk_o = ~wb_clk_o;  // 2*5 ns -> 100.0 MHz    
226
//  forever #10 wb_clk_o = ~wb_clk_o;  // 2*10 ns -> 50.0 MHz    
227
//  forever #12.5 wb_clk_o = ~wb_clk_o;  // 2*12.5 ns -> 40 MHz    
228
//  forever #15 wb_clk_o = ~wb_clk_o;  // 2*10 ns -> 33.3 MHz    
229
  forever #20 wb_clk_o = ~wb_clk_o;  // 2*20 ns -> 25 MHz    
230
//  forever #25 wb_clk_o = ~wb_clk_o;  // 2*25 ns -> 20.0 MHz
231
//  forever #31.25 wb_clk_o = ~wb_clk_o;  // 2*31.25 ns -> 16.0 MHz    
232
//  forever #50 wb_clk_o = ~wb_clk_o;  // 2*50 ns -> 10.0 MHz
233
//  forever #55 wb_clk_o = ~wb_clk_o;  // 2*55 ns ->  9.1 MHz    
234
end
235
 
236
// Generating mtx_clk clock
237
initial
238
begin
239
  mtx_clk=0;
240
//  #3 forever #20 mtx_clk = ~mtx_clk;   // 2*20 ns -> 25 MHz
241
  #3 forever #200 mtx_clk = ~mtx_clk;   // 2*200 ns -> 2.5 MHz
242
end
243
 
244
// Generating mrx_clk clock
245
initial
246
begin
247
  mrx_clk=0;
248
  #16 forever #20 mrx_clk = ~mrx_clk;   // 2*20 ns -> 25 MHz
249
//  #16 forever #200 mrx_clk = ~mrx_clk;   // 2*200 ns -> 2.5 MHz
250
end
251
 
252
reg [31:0] tmp;
253
initial
254
begin
255
  wait(StartTB);  // Start of testbench
256
 
257
 
258
  eth_host.wb_write(`ETH_MODER, 4'hf, 32'h0); // Reset OFF
259
  eth_host.wb_read(`ETH_MODER, 4'hf, tmp);
260
 
261
  eth_host.wb_write(32'hd0000000, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO |
262
                                        `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
263
  eth_host.wb_read(32'hd0000000, 4'hf, tmp);
264
 
265
 
266
  initialize_txbd(3);
267
  initialize_rxbd(6);
268
 
269
  set_packet(16'h34, 8'h1);
270
  set_packet(16'h34, 8'h11);
271
  send_packet;
272
  set_packet(16'h34, 8'h21);
273
  set_packet(16'h34, 8'h31);
274
  send_packet;
275
 
276
  GetDataOnMRxD(100, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
277
 
278
  repeat (100) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
279
 
280
 
281
  GetDataOnMRxD(70, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
282
 
283
 
284
  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
285
 
286
  $display("\n\n End of simulation");
287
  $stop;
288
 
289
 
290
 
291
end
292
 
293
 
294
 
295
task initialize_txbd;
296
  input [6:0] txbd_num;
297
 
298
  integer i;
299
  integer bd_status_addr, buf_addr, bd_ptr_addr;
300
 
301
  for(i=0; i<txbd_num; i=i+1) begin
302
    buf_addr = `TX_BUF_BASE + i * 32'h600;
303
    bd_status_addr = `TX_BD_BASE + i * 8;
304
    bd_ptr_addr = bd_status_addr + 4;
305
 
306
    // Initializing BD - status
307
    if(i==txbd_num-1)
308
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00007800);    // last BD: + WRAP
309
    else
310
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00005800);    // IRQ + PAD + CRC
311
 
312
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
313
  end
314
endtask // initialize_txbd
315
 
316
 
317
task initialize_rxbd;
318
  input [6:0] rxbd_num;
319
 
320
  integer i;
321
  integer bd_status_addr, buf_addr, bd_ptr_addr;
322
 
323
  for(i=0; i<rxbd_num; i=i+1) begin
324
    buf_addr = `RX_BUF_BASE + i * 32'h600;
325
    bd_status_addr = `RX_BD_BASE + i * 8;
326
    bd_ptr_addr = bd_status_addr + 4;
327
 
328
    // Initializing BD - status
329
    if(i==rxbd_num-1)
330
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000e000);    // last BD: + WRAP
331
    else
332
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000c000);    // IRQ + PAD + CRC
333
 
334
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
335
  end
336
endtask // initialize_rxbd
337
 
338
 
339
task set_packet;
340
  input  [15:0] len;
341
  input   [7:0] start_data;
342
 
343
  integer i, sd;
344
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
345
 
346
  begin
347
    sd = start_data;
348
    bd_status_addr = `TX_BD_BASE + packet_ready_cnt * 8;
349
    bd_ptr_addr = bd_status_addr + 4;
350
 
351
    // Reading BD + buffer pointer
352
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
353
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
354
 
355
    while(bd & `ETH_TX_BD_READY) begin  // Buffer is ready. Don't touch !!!
356
      repeat(100) @(posedge wb_clk_o);
357
      i=i+1;
358
      eth_host.wb_read(bd_status_addr, 4'hf, bd);
359
      if(i>1000)  begin
360
        $display("(%0t)(%m)Waiting for TxBD ready to clear timeout", $time);
361
        $stop;
362
      end
363
    end
364
 
365
    // First write might not be word allign.
366
    if(buffer[1:0]==1)  begin
367
      eth_host.wb_write(buffer-1, 4'h7, {8'h0, sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2});
368
      sd=sd+3;
369
      i=3;
370
    end
371
    else if(buffer[1:0]==2)  begin
372
      eth_host.wb_write(buffer-2, 4'h3, {16'h0, sd[7:0], sd[7:0]+3'h1});
373
      sd=sd+2;
374
      i=2;
375
    end
376
    else if(buffer[1:0]==3)  begin
377
      eth_host.wb_write(buffer-3, 4'h1, {24'h0, sd[7:0]});
378
      sd=sd+1;
379
      i=1;
380
    end
381
    else
382
      i=0;
383
 
384
 
385
    for(i=i; i<len-4; i=i+4) begin   // Last 0-3 bytes are not written
386
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
387
      sd=sd+4;
388
    end
389
 
390
 
391
    // Last word
392
    if(len-i==3)
393
      eth_host.wb_write(buffer+i, 4'he, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, 8'h0});
394
    else if(len-i==2)
395
      eth_host.wb_write(buffer+i, 4'hc, {sd[7:0], sd[7:0]+3'h1, 16'h0});
396
    else if(len-i==1)
397
      eth_host.wb_write(buffer+i, 4'h8, {sd[7:0], 24'h0});
398
    else if(len-i==4)
399
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
400
    else
401
      $display("(%0t)(%m) ERROR", $time);
402
 
403
 
404
    // Checking WRAP bit
405
    if(bd & `ETH_TX_BD_WRAP)
406
      packet_ready_cnt = 0;
407
    else
408
      packet_ready_cnt = packet_ready_cnt+1;
409
 
410
    // Writing len to bd
411
    bd = bd | (len<<16);
412
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
413
 
414
  end
415
endtask // set_packet
416
 
417
 
418
task send_packet;
419
 
420
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
421
 
422
  begin
423
    bd_status_addr = `TX_BD_BASE + send_packet_cnt * 8;
424
    bd_ptr_addr = bd_status_addr + 4;
425
 
426
    // Reading BD + buffer pointer
427
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
428
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
429
 
430
    if(bd & `ETH_TX_BD_WRAP)
431
      send_packet_cnt=0;
432
    else
433
      send_packet_cnt=send_packet_cnt+1;
434
 
435
    // Setting ETH_TX_BD_READY bit
436
    bd = bd | `ETH_TX_BD_READY;
437
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
438
  end
439
 
440
 
441
endtask // send_packet
442
 
443
 
444
task GetDataOnMRxD;
445
  input [15:0] Len;
446
  input [31:0] TransferType;
447
  integer tt;
448
 
449
  begin
450
    @ (posedge mrx_clk);
451
    #1MRxDV=1'b1;
452
 
453
    for(tt=0; tt<15; tt=tt+1)
454
      begin
455
        MRxD=4'h5;              // preamble
456
        @ (posedge mrx_clk);
457
        #1;
458
      end
459
 
460
    MRxD=4'hd;                // SFD
461
 
462
    for(tt=1; tt<(Len+1); tt=tt+1)
463
      begin
464
        @ (posedge mrx_clk);
465
        #1;
466
            if(TransferType == `UNICAST_XFR && tt == 1)
467
                MRxD= 4'h0;   // Unicast transfer
468
              else if(TransferType == `BROADCAST_XFR && tt < 7)
469
                MRxD = 4'hf;
470
              else
471
          MRxD=tt[3:0]; // Multicast transfer
472
 
473
        @ (posedge mrx_clk);
474
              #1;
475
              if(TransferType == `BROADCAST_XFR && tt < 7)
476
                MRxD = 4'hf;
477
              else
478
          MRxD=tt[7:4];
479
      end
480
 
481
    @ (posedge mrx_clk);
482
    #1;
483
    MRxDV=1'b0;
484
  end
485
endtask // GetDataOnMRxD
486
 
487
 
488
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.