OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_4/] [bench/] [verilog/] [tb_ethernet.v] - Blame information for rev 117

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 116 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  tb_ethernet.v                                               ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects/ethmac/                   ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001, 2002 Authors                             ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44 117 mohor
// Revision 1.1  2002/07/19 13:57:53  mohor
45
// Testing environment also includes traffic cop, memory interface and host
46
// interface.
47 116 mohor
//
48
//
49
//
50
//
51 117 mohor
//
52 116 mohor
 
53
 
54
 
55
`include "tb_eth_defines.v"
56
`include "eth_defines.v"
57
`include "timescale.v"
58
 
59
module tb_ethernet();
60
 
61
 
62
parameter Tp = 1;
63
 
64
 
65
reg           wb_clk_o;
66
reg           wb_rst_o;
67
 
68
reg           mtx_clk;
69
reg           mrx_clk;
70
 
71
wire   [3:0]  MTxD;
72
wire          MTxEn;
73
wire          MTxErr;
74
 
75
reg    [3:0]  MRxD;     // This goes to PHY
76
reg           MRxDV;    // This goes to PHY
77
reg           MRxErr;   // This goes to PHY
78
reg           MColl;    // This goes to PHY
79
reg           MCrs;     // This goes to PHY
80
 
81
wire          Mdi_I;
82
wire          Mdo_O;
83
wire          Mdo_OE;
84
wire          Mdc_O;
85
 
86
integer tx_log;
87
integer rx_log;
88
 
89
reg StartTB;
90
 
91
integer packet_ready_cnt, send_packet_cnt;
92
 
93
 
94
// Ethernet Slave Interface signals
95
wire [31:0] eth_sl_wb_adr_i, eth_sl_wb_dat_o, eth_sl_wb_dat_i;
96
wire  [3:0] eth_sl_wb_sel_i;
97
wire        eth_sl_wb_we_i, eth_sl_wb_cyc_i, eth_sl_wb_stb_i, eth_sl_wb_ack_o, eth_sl_wb_err_o;
98
 
99
// Memory Slave Interface signals
100
wire [31:0] mem_sl_wb_adr_i, mem_sl_wb_dat_o, mem_sl_wb_dat_i;
101
wire  [3:0] mem_sl_wb_sel_i;
102
wire        mem_sl_wb_we_i, mem_sl_wb_cyc_i, mem_sl_wb_stb_i, mem_sl_wb_ack_o, mem_sl_wb_err_o;
103
 
104
// Ethernet Master Interface signals
105
wire [31:0] eth_ma_wb_adr_o, eth_ma_wb_dat_i, eth_ma_wb_dat_o;
106
wire  [3:0] eth_ma_wb_sel_o;
107
wire        eth_ma_wb_we_o, eth_ma_wb_cyc_o, eth_ma_wb_stb_o, eth_ma_wb_ack_i, eth_ma_wb_err_i;
108
 
109
// Host Master Interface signals
110
wire [31:0] host_ma_wb_adr_o, host_ma_wb_dat_i, host_ma_wb_dat_o;
111
wire  [3:0] host_ma_wb_sel_o;
112
wire        host_ma_wb_we_o, host_ma_wb_cyc_o, host_ma_wb_stb_o, host_ma_wb_ack_i, host_ma_wb_err_i;
113
 
114
 
115
 
116
eth_cop i_eth_cop
117
(
118
  // WISHBONE common
119
  .wb_clk_i(wb_clk_o), .wb_rst_i(wb_rst_o),
120
 
121
  // WISHBONE MASTER 1  Ethernet Master Interface is connected here
122
  .m1_wb_adr_i(eth_ma_wb_adr_o),  .m1_wb_sel_i(eth_ma_wb_sel_o),  .m1_wb_we_i (eth_ma_wb_we_o),
123
  .m1_wb_dat_o(eth_ma_wb_dat_i),  .m1_wb_dat_i(eth_ma_wb_dat_o),  .m1_wb_cyc_i(eth_ma_wb_cyc_o),
124
  .m1_wb_stb_i(eth_ma_wb_stb_o),  .m1_wb_ack_o(eth_ma_wb_ack_i),  .m1_wb_err_o(eth_ma_wb_err_i),
125
 
126
  // WISHBONE MASTER 2  Host Interface is connected here
127
  .m2_wb_adr_i(host_ma_wb_adr_o), .m2_wb_sel_i(host_ma_wb_sel_o), .m2_wb_we_i (host_ma_wb_we_o),
128
  .m2_wb_dat_o(host_ma_wb_dat_i), .m2_wb_dat_i(host_ma_wb_dat_o), .m2_wb_cyc_i(host_ma_wb_cyc_o),
129
  .m2_wb_stb_i(host_ma_wb_stb_o), .m2_wb_ack_o(host_ma_wb_ack_i), .m2_wb_err_o(host_ma_wb_err_i),
130
 
131
  // WISHBONE slave 1   Ethernet Slave Interface is connected here
132
        .s1_wb_adr_o(eth_sl_wb_adr_i),  .s1_wb_sel_o(eth_sl_wb_sel_i),  .s1_wb_we_o (eth_sl_wb_we_i),
133
        .s1_wb_cyc_o(eth_sl_wb_cyc_i),  .s1_wb_stb_o(eth_sl_wb_stb_i),  .s1_wb_ack_i(eth_sl_wb_ack_o),
134
        .s1_wb_err_i(eth_sl_wb_err_o),  .s1_wb_dat_i(eth_sl_wb_dat_o),  .s1_wb_dat_o(eth_sl_wb_dat_i),
135
 
136
  // WISHBONE slave 2   Memory Interface is connected here
137
        .s2_wb_adr_o(mem_sl_wb_adr_i),  .s2_wb_sel_o(mem_sl_wb_sel_i),  .s2_wb_we_o (mem_sl_wb_we_i),
138
        .s2_wb_cyc_o(mem_sl_wb_cyc_i),  .s2_wb_stb_o(mem_sl_wb_stb_i),  .s2_wb_ack_i(mem_sl_wb_ack_o),
139
        .s2_wb_err_i(mem_sl_wb_err_o),  .s2_wb_dat_i(mem_sl_wb_dat_o),  .s2_wb_dat_o(mem_sl_wb_dat_i)
140
);
141
 
142
 
143
 
144
 
145
// Connecting Ethernet top module
146
eth_top ethtop
147
(
148
  // WISHBONE common
149
  .wb_clk_i(wb_clk_o),              .wb_rst_i(wb_rst_o),
150
 
151
  // WISHBONE slave
152
        .wb_adr_i(eth_sl_wb_adr_i[11:2]), .wb_sel_i(eth_sl_wb_sel_i),   .wb_we_i(eth_sl_wb_we_i),
153
        .wb_cyc_i(eth_sl_wb_cyc_i),       .wb_stb_i(eth_sl_wb_stb_i),   .wb_ack_o(eth_sl_wb_ack_o),
154
        .wb_err_o(eth_sl_wb_err_o),       .wb_dat_i(eth_sl_wb_dat_i),   .wb_dat_o(eth_sl_wb_dat_o),
155
 
156
  // WISHBONE master
157
  .m_wb_adr_o(eth_ma_wb_adr_o),     .m_wb_sel_o(eth_ma_wb_sel_o), .m_wb_we_o(eth_ma_wb_we_o),
158
  .m_wb_dat_i(eth_ma_wb_dat_i),     .m_wb_dat_o(eth_ma_wb_dat_o), .m_wb_cyc_o(eth_ma_wb_cyc_o),
159
  .m_wb_stb_o(eth_ma_wb_stb_o),     .m_wb_ack_i(eth_ma_wb_ack_i), .m_wb_err_i(eth_ma_wb_err_i),
160
 
161
  //TX
162
  .mtx_clk_pad_i(mtx_clk), .mtxd_pad_o(MTxD), .mtxen_pad_o(MTxEn), .mtxerr_pad_o(MTxErr),
163
 
164
  //RX
165
  .mrx_clk_pad_i(mrx_clk), .mrxd_pad_i(MRxD), .mrxdv_pad_i(MRxDV), .mrxerr_pad_i(MRxErr),
166
  .mcoll_pad_i(MColl),    .mcrs_pad_i(MCrs),
167
 
168
  // MIIM
169
  .mdc_pad_o(Mdc_O), .md_pad_i(Mdi_I), .md_pad_o(Mdo_O), .md_padoe_o(Mdo_OE),
170
 
171
  .int_o()
172
);
173
 
174
 
175
 
176
// Connecting Memory Interface Module
177
eth_memory i_eth_memory
178
(
179
  // WISHBONE common
180
        .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
181
 
182
  // WISHBONE slave:   Memory Interface is connected here
183
        .wb_adr_i(mem_sl_wb_adr_i),  .wb_sel_i(mem_sl_wb_sel_i),  .wb_we_i (mem_sl_wb_we_i),
184
        .wb_cyc_i(mem_sl_wb_cyc_i),  .wb_stb_i(mem_sl_wb_stb_i),  .wb_ack_o(mem_sl_wb_ack_o),
185
        .wb_err_o(mem_sl_wb_err_o),  .wb_dat_o(mem_sl_wb_dat_o),  .wb_dat_i(mem_sl_wb_dat_i)
186
);
187
 
188
 
189
// Connecting Host Interface
190
eth_host eth_host
191
(
192
  // WISHBONE common
193
  .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
194
 
195
  // WISHBONE master
196
  .wb_adr_o(host_ma_wb_adr_o), .wb_sel_o(host_ma_wb_sel_o), .wb_we_o (host_ma_wb_we_o),
197
  .wb_dat_i(host_ma_wb_dat_i), .wb_dat_o(host_ma_wb_dat_o), .wb_cyc_o(host_ma_wb_cyc_o),
198
  .wb_stb_o(host_ma_wb_stb_o), .wb_ack_i(host_ma_wb_ack_i), .wb_err_i(host_ma_wb_err_i)
199
);
200
 
201
 
202
 
203
 
204
 
205
// Reset pulse
206
initial
207
begin
208
  MCrs=0;                                     // This should come from PHY
209
  MColl=0;                                    // This should come from PHY
210
  MRxD=0;                                     // This should come from PHY
211
  MRxDV=0;                                    // This should come from PHY
212
  MRxErr=0;                                   // This should come from PHY
213
  packet_ready_cnt = 0;
214
  send_packet_cnt = 0;
215
  tx_log = $fopen("ethernet_tx.log");
216
  rx_log = $fopen("ethernet_rx.log");
217
  wb_rst_o =  1'b1;
218
  #100 wb_rst_o =  1'b0;
219
  #100 StartTB  =  1'b1;
220
end
221
 
222
 
223
 
224
// Generating wb_clk_o clock
225
initial
226
begin
227
  wb_clk_o=0;
228
//  forever #2.5 wb_clk_o = ~wb_clk_o;  // 2*2.5 ns -> 200.0 MHz    
229
//  forever #5 wb_clk_o = ~wb_clk_o;  // 2*5 ns -> 100.0 MHz    
230
//  forever #10 wb_clk_o = ~wb_clk_o;  // 2*10 ns -> 50.0 MHz    
231 117 mohor
  forever #12.5 wb_clk_o = ~wb_clk_o;  // 2*12.5 ns -> 40 MHz    
232 116 mohor
//  forever #15 wb_clk_o = ~wb_clk_o;  // 2*10 ns -> 33.3 MHz    
233 117 mohor
//  forever #20 wb_clk_o = ~wb_clk_o;  // 2*20 ns -> 25 MHz    
234 116 mohor
//  forever #25 wb_clk_o = ~wb_clk_o;  // 2*25 ns -> 20.0 MHz
235
//  forever #31.25 wb_clk_o = ~wb_clk_o;  // 2*31.25 ns -> 16.0 MHz    
236
//  forever #50 wb_clk_o = ~wb_clk_o;  // 2*50 ns -> 10.0 MHz
237
//  forever #55 wb_clk_o = ~wb_clk_o;  // 2*55 ns ->  9.1 MHz    
238
end
239
 
240
// Generating mtx_clk clock
241
initial
242
begin
243
  mtx_clk=0;
244
//  #3 forever #20 mtx_clk = ~mtx_clk;   // 2*20 ns -> 25 MHz
245
  #3 forever #200 mtx_clk = ~mtx_clk;   // 2*200 ns -> 2.5 MHz
246
end
247
 
248
// Generating mrx_clk clock
249
initial
250
begin
251
  mrx_clk=0;
252 117 mohor
//  #16 forever #20 mrx_clk = ~mrx_clk;   // 2*20 ns -> 25 MHz
253
  #16 forever #200 mrx_clk = ~mrx_clk;   // 2*200 ns -> 2.5 MHz
254 116 mohor
end
255
 
256
reg [31:0] tmp;
257
initial
258
begin
259
  wait(StartTB);  // Start of testbench
260
 
261
 
262
  eth_host.wb_write(`ETH_MODER, 4'hf, 32'h0); // Reset OFF
263
  eth_host.wb_read(`ETH_MODER, 4'hf, tmp);
264
 
265
  eth_host.wb_write(32'hd0000000, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO |
266
                                        `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
267
  eth_host.wb_read(32'hd0000000, 4'hf, tmp);
268
 
269
 
270
  initialize_txbd(3);
271
  initialize_rxbd(6);
272
 
273
  set_packet(16'h34, 8'h1);
274
  set_packet(16'h34, 8'h11);
275
  send_packet;
276
  set_packet(16'h34, 8'h21);
277
  set_packet(16'h34, 8'h31);
278
  send_packet;
279
 
280
  GetDataOnMRxD(100, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
281
 
282
  repeat (100) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
283
 
284
 
285
  GetDataOnMRxD(70, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
286
 
287
 
288
  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
289
 
290
  $display("\n\n End of simulation");
291
  $stop;
292
 
293
 
294
 
295
end
296
 
297
 
298
 
299
task initialize_txbd;
300
  input [6:0] txbd_num;
301
 
302
  integer i;
303
  integer bd_status_addr, buf_addr, bd_ptr_addr;
304
 
305
  for(i=0; i<txbd_num; i=i+1) begin
306
    buf_addr = `TX_BUF_BASE + i * 32'h600;
307
    bd_status_addr = `TX_BD_BASE + i * 8;
308
    bd_ptr_addr = bd_status_addr + 4;
309
 
310
    // Initializing BD - status
311
    if(i==txbd_num-1)
312
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00007800);    // last BD: + WRAP
313
    else
314
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00005800);    // IRQ + PAD + CRC
315
 
316
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
317
  end
318
endtask // initialize_txbd
319
 
320
 
321
task initialize_rxbd;
322
  input [6:0] rxbd_num;
323
 
324
  integer i;
325
  integer bd_status_addr, buf_addr, bd_ptr_addr;
326
 
327
  for(i=0; i<rxbd_num; i=i+1) begin
328
    buf_addr = `RX_BUF_BASE + i * 32'h600;
329
    bd_status_addr = `RX_BD_BASE + i * 8;
330
    bd_ptr_addr = bd_status_addr + 4;
331
 
332
    // Initializing BD - status
333
    if(i==rxbd_num-1)
334
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000e000);    // last BD: + WRAP
335
    else
336
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000c000);    // IRQ + PAD + CRC
337
 
338
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
339
  end
340
endtask // initialize_rxbd
341
 
342
 
343
task set_packet;
344
  input  [15:0] len;
345
  input   [7:0] start_data;
346
 
347
  integer i, sd;
348
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
349
 
350
  begin
351
    sd = start_data;
352
    bd_status_addr = `TX_BD_BASE + packet_ready_cnt * 8;
353
    bd_ptr_addr = bd_status_addr + 4;
354
 
355
    // Reading BD + buffer pointer
356
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
357
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
358
 
359
    while(bd & `ETH_TX_BD_READY) begin  // Buffer is ready. Don't touch !!!
360
      repeat(100) @(posedge wb_clk_o);
361
      i=i+1;
362
      eth_host.wb_read(bd_status_addr, 4'hf, bd);
363
      if(i>1000)  begin
364
        $display("(%0t)(%m)Waiting for TxBD ready to clear timeout", $time);
365
        $stop;
366
      end
367
    end
368
 
369
    // First write might not be word allign.
370
    if(buffer[1:0]==1)  begin
371
      eth_host.wb_write(buffer-1, 4'h7, {8'h0, sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2});
372
      sd=sd+3;
373
      i=3;
374
    end
375
    else if(buffer[1:0]==2)  begin
376
      eth_host.wb_write(buffer-2, 4'h3, {16'h0, sd[7:0], sd[7:0]+3'h1});
377
      sd=sd+2;
378
      i=2;
379
    end
380
    else if(buffer[1:0]==3)  begin
381
      eth_host.wb_write(buffer-3, 4'h1, {24'h0, sd[7:0]});
382
      sd=sd+1;
383
      i=1;
384
    end
385
    else
386
      i=0;
387
 
388
 
389
    for(i=i; i<len-4; i=i+4) begin   // Last 0-3 bytes are not written
390
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
391
      sd=sd+4;
392
    end
393
 
394
 
395
    // Last word
396
    if(len-i==3)
397
      eth_host.wb_write(buffer+i, 4'he, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, 8'h0});
398
    else if(len-i==2)
399
      eth_host.wb_write(buffer+i, 4'hc, {sd[7:0], sd[7:0]+3'h1, 16'h0});
400
    else if(len-i==1)
401
      eth_host.wb_write(buffer+i, 4'h8, {sd[7:0], 24'h0});
402
    else if(len-i==4)
403
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
404
    else
405
      $display("(%0t)(%m) ERROR", $time);
406
 
407
 
408
    // Checking WRAP bit
409
    if(bd & `ETH_TX_BD_WRAP)
410
      packet_ready_cnt = 0;
411
    else
412
      packet_ready_cnt = packet_ready_cnt+1;
413
 
414
    // Writing len to bd
415
    bd = bd | (len<<16);
416
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
417
 
418
  end
419
endtask // set_packet
420
 
421
 
422
task send_packet;
423
 
424
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
425
 
426
  begin
427
    bd_status_addr = `TX_BD_BASE + send_packet_cnt * 8;
428
    bd_ptr_addr = bd_status_addr + 4;
429
 
430
    // Reading BD + buffer pointer
431
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
432
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
433
 
434
    if(bd & `ETH_TX_BD_WRAP)
435
      send_packet_cnt=0;
436
    else
437
      send_packet_cnt=send_packet_cnt+1;
438
 
439
    // Setting ETH_TX_BD_READY bit
440
    bd = bd | `ETH_TX_BD_READY;
441
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
442
  end
443
 
444
 
445
endtask // send_packet
446
 
447
 
448
task GetDataOnMRxD;
449
  input [15:0] Len;
450
  input [31:0] TransferType;
451
  integer tt;
452
 
453
  begin
454
    @ (posedge mrx_clk);
455
    #1MRxDV=1'b1;
456
 
457
    for(tt=0; tt<15; tt=tt+1)
458
      begin
459
        MRxD=4'h5;              // preamble
460
        @ (posedge mrx_clk);
461
        #1;
462
      end
463
 
464
    MRxD=4'hd;                // SFD
465
 
466
    for(tt=1; tt<(Len+1); tt=tt+1)
467
      begin
468
        @ (posedge mrx_clk);
469
        #1;
470
            if(TransferType == `UNICAST_XFR && tt == 1)
471
                MRxD= 4'h0;   // Unicast transfer
472
              else if(TransferType == `BROADCAST_XFR && tt < 7)
473
                MRxD = 4'hf;
474
              else
475
          MRxD=tt[3:0]; // Multicast transfer
476
 
477
        @ (posedge mrx_clk);
478
              #1;
479
              if(TransferType == `BROADCAST_XFR && tt < 7)
480
                MRxD = 4'hf;
481
              else
482
          MRxD=tt[7:4];
483
      end
484
 
485
    @ (posedge mrx_clk);
486
    #1;
487
    MRxDV=1'b0;
488
  end
489
endtask // GetDataOnMRxD
490
 
491
 
492
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.