OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [bench/] [verilog/] [tb_ethernet_with_cop.v] - Blame information for rev 216

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 189 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  tb_ethernet_with_cop.v                                      ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects/ethmac/                   ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001, 2002 Authors                             ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44 216 mohor
// Revision 1.1  2002/09/18 16:40:40  mohor
45
// Simple testbench that includes eth_cop, eth_host and eth_memory modules.
46
// This testbench is used for testing the whole environment. Use tb_ethernet
47
// testbench for testing just the ethernet MAC core (many tests).
48 189 mohor
//
49
//
50
//
51 216 mohor
//
52 189 mohor
 
53
 
54
 
55
`include "tb_eth_defines.v"
56
`include "eth_defines.v"
57
`include "timescale.v"
58
 
59
module tb_ethernet_with_cop();
60
 
61
 
62
parameter Tp = 1;
63
 
64
 
65
reg           wb_clk_o;
66
reg           wb_rst_o;
67
 
68
reg           mtx_clk;
69
reg           mrx_clk;
70
 
71
wire   [3:0]  MTxD;
72
wire          MTxEn;
73
wire          MTxErr;
74
 
75
reg    [3:0]  MRxD;     // This goes to PHY
76
reg           MRxDV;    // This goes to PHY
77
reg           MRxErr;   // This goes to PHY
78
reg           MColl;    // This goes to PHY
79
reg           MCrs;     // This goes to PHY
80
 
81
wire          Mdi_I;
82
wire          Mdo_O;
83
wire          Mdo_OE;
84
wire          Mdc_O;
85
 
86
integer tx_log;
87
integer rx_log;
88
 
89
reg StartTB;
90
 
91
`ifdef ETH_XILINX_RAMB4
92
  reg gsr;
93
`endif
94
 
95
 
96
integer packet_ready_cnt, send_packet_cnt;
97
 
98
 
99
// Ethernet Slave Interface signals
100
wire [31:0] eth_sl_wb_adr_i, eth_sl_wb_dat_o, eth_sl_wb_dat_i;
101
wire  [3:0] eth_sl_wb_sel_i;
102
wire        eth_sl_wb_we_i, eth_sl_wb_cyc_i, eth_sl_wb_stb_i, eth_sl_wb_ack_o, eth_sl_wb_err_o;
103
 
104
// Memory Slave Interface signals
105
wire [31:0] mem_sl_wb_adr_i, mem_sl_wb_dat_o, mem_sl_wb_dat_i;
106
wire  [3:0] mem_sl_wb_sel_i;
107
wire        mem_sl_wb_we_i, mem_sl_wb_cyc_i, mem_sl_wb_stb_i, mem_sl_wb_ack_o, mem_sl_wb_err_o;
108
 
109
// Ethernet Master Interface signals
110
wire [31:0] eth_ma_wb_adr_o, eth_ma_wb_dat_i, eth_ma_wb_dat_o;
111
wire  [3:0] eth_ma_wb_sel_o;
112
wire        eth_ma_wb_we_o, eth_ma_wb_cyc_o, eth_ma_wb_stb_o, eth_ma_wb_ack_i, eth_ma_wb_err_i;
113
 
114 216 mohor
`ifdef ETH_WISHBONE_B3
115
wire  [2:0] eth_ma_wb_cti_o;
116
wire  [1:0] eth_ma_wb_bte_o;
117
`endif
118
 
119
 
120 189 mohor
// Host Master Interface signals
121
wire [31:0] host_ma_wb_adr_o, host_ma_wb_dat_i, host_ma_wb_dat_o;
122
wire  [3:0] host_ma_wb_sel_o;
123
wire        host_ma_wb_we_o, host_ma_wb_cyc_o, host_ma_wb_stb_o, host_ma_wb_ack_i, host_ma_wb_err_i;
124
 
125
 
126
 
127
eth_cop i_eth_cop
128
(
129
  // WISHBONE common
130
  .wb_clk_i(wb_clk_o), .wb_rst_i(wb_rst_o),
131
 
132
  // WISHBONE MASTER 1  Ethernet Master Interface is connected here
133
  .m1_wb_adr_i(eth_ma_wb_adr_o),  .m1_wb_sel_i(eth_ma_wb_sel_o),  .m1_wb_we_i (eth_ma_wb_we_o),
134
  .m1_wb_dat_o(eth_ma_wb_dat_i),  .m1_wb_dat_i(eth_ma_wb_dat_o),  .m1_wb_cyc_i(eth_ma_wb_cyc_o),
135
  .m1_wb_stb_i(eth_ma_wb_stb_o),  .m1_wb_ack_o(eth_ma_wb_ack_i),  .m1_wb_err_o(eth_ma_wb_err_i),
136
 
137
  // WISHBONE MASTER 2  Host Interface is connected here
138
  .m2_wb_adr_i(host_ma_wb_adr_o), .m2_wb_sel_i(host_ma_wb_sel_o), .m2_wb_we_i (host_ma_wb_we_o),
139
  .m2_wb_dat_o(host_ma_wb_dat_i), .m2_wb_dat_i(host_ma_wb_dat_o), .m2_wb_cyc_i(host_ma_wb_cyc_o),
140
  .m2_wb_stb_i(host_ma_wb_stb_o), .m2_wb_ack_o(host_ma_wb_ack_i), .m2_wb_err_o(host_ma_wb_err_i),
141
 
142
  // WISHBONE slave 1   Ethernet Slave Interface is connected here
143
        .s1_wb_adr_o(eth_sl_wb_adr_i),  .s1_wb_sel_o(eth_sl_wb_sel_i),  .s1_wb_we_o (eth_sl_wb_we_i),
144
        .s1_wb_cyc_o(eth_sl_wb_cyc_i),  .s1_wb_stb_o(eth_sl_wb_stb_i),  .s1_wb_ack_i(eth_sl_wb_ack_o),
145
        .s1_wb_err_i(eth_sl_wb_err_o),  .s1_wb_dat_i(eth_sl_wb_dat_o),  .s1_wb_dat_o(eth_sl_wb_dat_i),
146
 
147
  // WISHBONE slave 2   Memory Interface is connected here
148
        .s2_wb_adr_o(mem_sl_wb_adr_i),  .s2_wb_sel_o(mem_sl_wb_sel_i),  .s2_wb_we_o (mem_sl_wb_we_i),
149
        .s2_wb_cyc_o(mem_sl_wb_cyc_i),  .s2_wb_stb_o(mem_sl_wb_stb_i),  .s2_wb_ack_i(mem_sl_wb_ack_o),
150
        .s2_wb_err_i(mem_sl_wb_err_o),  .s2_wb_dat_i(mem_sl_wb_dat_o),  .s2_wb_dat_o(mem_sl_wb_dat_i)
151
);
152
 
153
 
154
 
155
 
156
// Connecting Ethernet top module
157
eth_top ethtop
158
(
159
  // WISHBONE common
160
  .wb_clk_i(wb_clk_o),              .wb_rst_i(wb_rst_o),
161
 
162
  // WISHBONE slave
163
        .wb_adr_i(eth_sl_wb_adr_i[11:2]), .wb_sel_i(eth_sl_wb_sel_i),   .wb_we_i(eth_sl_wb_we_i),
164
        .wb_cyc_i(eth_sl_wb_cyc_i),       .wb_stb_i(eth_sl_wb_stb_i),   .wb_ack_o(eth_sl_wb_ack_o),
165
        .wb_err_o(eth_sl_wb_err_o),       .wb_dat_i(eth_sl_wb_dat_i),   .wb_dat_o(eth_sl_wb_dat_o),
166
 
167
  // WISHBONE master
168
  .m_wb_adr_o(eth_ma_wb_adr_o),     .m_wb_sel_o(eth_ma_wb_sel_o), .m_wb_we_o(eth_ma_wb_we_o),
169
  .m_wb_dat_i(eth_ma_wb_dat_i),     .m_wb_dat_o(eth_ma_wb_dat_o), .m_wb_cyc_o(eth_ma_wb_cyc_o),
170
  .m_wb_stb_o(eth_ma_wb_stb_o),     .m_wb_ack_i(eth_ma_wb_ack_i), .m_wb_err_i(eth_ma_wb_err_i),
171
 
172 216 mohor
`ifdef ETH_WISHBONE_B3
173
  .m_wb_cti_o(eth_ma_wb_cti_o),     .m_wb_bte_o(eth_ma_wb_bte_o),
174
`endif
175
 
176 189 mohor
  //TX
177
  .mtx_clk_pad_i(mtx_clk), .mtxd_pad_o(MTxD), .mtxen_pad_o(MTxEn), .mtxerr_pad_o(MTxErr),
178
 
179
  //RX
180
  .mrx_clk_pad_i(mrx_clk), .mrxd_pad_i(MRxD), .mrxdv_pad_i(MRxDV), .mrxerr_pad_i(MRxErr),
181
  .mcoll_pad_i(MColl),    .mcrs_pad_i(MCrs),
182
 
183
  // MIIM
184
  .mdc_pad_o(Mdc_O), .md_pad_i(Mdi_I), .md_pad_o(Mdo_O), .md_padoe_o(Mdo_OE),
185
 
186
  .int_o()
187 216 mohor
 
188
  // Bist
189
`ifdef ETH_BIST
190
  , .trst(1'b0), .SO(), .SI(1'b0), .shift_DR(1'b0), .capture_DR(1'b0), .extest(1'b0), .tck(1'b0)
191
`endif
192
 
193 189 mohor
);
194
 
195
 
196
 
197
// Connecting Memory Interface Module
198
eth_memory i_eth_memory
199
(
200
  // WISHBONE common
201
        .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
202
 
203
  // WISHBONE slave:   Memory Interface is connected here
204
        .wb_adr_i(mem_sl_wb_adr_i),  .wb_sel_i(mem_sl_wb_sel_i),  .wb_we_i (mem_sl_wb_we_i),
205
        .wb_cyc_i(mem_sl_wb_cyc_i),  .wb_stb_i(mem_sl_wb_stb_i),  .wb_ack_o(mem_sl_wb_ack_o),
206
        .wb_err_o(mem_sl_wb_err_o),  .wb_dat_o(mem_sl_wb_dat_o),  .wb_dat_i(mem_sl_wb_dat_i)
207
);
208
 
209
 
210
// Connecting Host Interface
211
eth_host eth_host
212
(
213
  // WISHBONE common
214
  .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
215
 
216
  // WISHBONE master
217
  .wb_adr_o(host_ma_wb_adr_o), .wb_sel_o(host_ma_wb_sel_o), .wb_we_o (host_ma_wb_we_o),
218
  .wb_dat_i(host_ma_wb_dat_i), .wb_dat_o(host_ma_wb_dat_o), .wb_cyc_o(host_ma_wb_cyc_o),
219
  .wb_stb_o(host_ma_wb_stb_o), .wb_ack_i(host_ma_wb_ack_i), .wb_err_i(host_ma_wb_err_i)
220
);
221
 
222
 
223
 
224
 
225
 
226
// Reset pulse
227
initial
228
begin
229
  MCrs=0;                                     // This should come from PHY
230
  MColl=0;                                    // This should come from PHY
231
  MRxD=0;                                     // This should come from PHY
232
  MRxDV=0;                                    // This should come from PHY
233
  MRxErr=0;                                   // This should come from PHY
234
  packet_ready_cnt = 0;
235
  send_packet_cnt = 0;
236
  tx_log = $fopen("ethernet_tx.log");
237
  rx_log = $fopen("ethernet_rx.log");
238
  wb_rst_o =  1'b1;
239
`ifdef ETH_XILINX_RAMB4
240
  gsr           =  1'b0;
241
  #100 gsr      =  1'b1;
242
  #100 gsr      =  1'b0;
243
`endif
244
  #100 wb_rst_o =  1'b0;
245
  #100 StartTB  =  1'b1;
246
end
247
 
248
`ifdef ETH_XILINX_RAMB4
249
  assign glbl.GSR = gsr;
250
`endif
251
 
252
 
253
 
254
// Generating wb_clk_o clock
255
initial
256
begin
257
  wb_clk_o=0;
258 216 mohor
//  forever #20 wb_clk_o = ~wb_clk_o;  // 2*20 ns -> 25 MHz    
259
  forever #12.5 wb_clk_o = ~wb_clk_o;  // 2*12.5 ns -> 40 MHz    
260 189 mohor
end
261
 
262
// Generating mtx_clk clock
263
initial
264
begin
265
  mtx_clk=0;
266
  #3 forever #20 mtx_clk = ~mtx_clk;   // 2*20 ns -> 25 MHz
267
end
268
 
269
// Generating mrx_clk clock
270
initial
271
begin
272
  mrx_clk=0;
273
  #16 forever #20 mrx_clk = ~mrx_clk;   // 2*20 ns -> 25 MHz
274
end
275
 
276
reg [31:0] tmp;
277
initial
278
begin
279
  wait(StartTB);  // Start of testbench
280
 
281
 
282
  eth_host.wb_write(`ETH_MODER, 4'hf, 32'h0); // Reset OFF
283
  eth_host.wb_read(`ETH_MODER, 4'hf, tmp);
284
  eth_host.wb_write(`ETH_MAC_ADDR1, 4'hf, 32'h0002); // Set ETH_MAC_ADDR1 register
285
  eth_host.wb_write(`ETH_MAC_ADDR0, 4'hf, 32'h03040506); // Set ETH_MAC_ADDR0 register
286
 
287
  initialize_txbd(3);
288 216 mohor
  initialize_rxbd(4);
289 189 mohor
 
290
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO | 
291
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
292
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | 
293
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
294 216 mohor
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_BRO | 
295
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
296 189 mohor
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO | 
297
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD | `ETH_MODER_LOOPBCK); // Set MODER register
298 216 mohor
  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO |
299
                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD | `ETH_MODER_LOOPBCK |
300
                                      `ETH_MODER_FULLD); // Set MODER register
301 189 mohor
  eth_host.wb_read(`ETH_MODER, 4'hf, tmp);
302
 
303 216 mohor
  set_packet(16'h364, 8'h1);
304
  set_packet(16'h234, 8'h11);
305 189 mohor
  send_packet;
306 216 mohor
  repeat (1000) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
307
 
308
//  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
309
  set_packet(16'h534, 8'h21);
310
//  set_packet(16'h34, 8'h31);
311
 
312 189 mohor
/*
313
  eth_host.wb_write(`ETH_CTRLMODER, 4'hf, 32'h4);   // Enable Tx Flow control
314
  eth_host.wb_write(`ETH_CTRLMODER, 4'hf, 32'h5);   // Enable Tx Flow control
315
  eth_host.wb_write(`ETH_TX_CTRL, 4'hf, 32'h10013); // Send Control frame with PAUSE_TV=0x0013
316
*/
317 216 mohor
 
318 189 mohor
  send_packet;
319 216 mohor
  repeat (1000) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
320
  send_packet;
321
  repeat (1000) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
322 189 mohor
 
323 216 mohor
/*
324
  send_packet;
325
*/
326 189 mohor
 
327
 
328 216 mohor
  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
329 189 mohor
 
330 216 mohor
/*
331
  GetDataOnMRxD(113, `UNICAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
332
 
333
  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
334
 
335 189 mohor
  GetDataOnMRxD(500, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
336
 
337
  repeat (1000) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
338
 
339
 
340
  GetDataOnMRxD(1200, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
341
 
342
 
343
  GetDataOnMRxD(1000, `UNICAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
344
 
345
  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
346
 
347 216 mohor
*/
348 189 mohor
  // Reading and printing interrupts
349
  eth_host.wb_read(`ETH_INT, 4'hf, tmp);
350
  $display("Print irq = 0x%0x", tmp);
351
 
352
  //Clearing all interrupts
353
  eth_host.wb_write(`ETH_INT, 4'hf, 32'h60);
354
 
355
  // Reading and printing interrupts
356
  eth_host.wb_read(`ETH_INT, 4'hf, tmp);
357
  $display("Print irq = 0x%0x", tmp);
358
 
359
  $display("\n\n End of simulation");
360
  $stop;
361
 
362
 
363
 
364
end
365
 
366
 
367 216 mohor
`ifdef ETH_WISHBONE_B3
368 189 mohor
 
369 216 mohor
integer single_cnt_tx, burst_cnt_tx, burst_cnt;
370
integer single_cnt_rx, burst_cnt_rx;
371
 
372
initial
373
begin
374
single_cnt_tx=0; burst_cnt_tx=0; burst_cnt=0;
375
single_cnt_rx=0; burst_cnt_rx=0;
376
end
377
 
378
// Single and burst cycle watcher
379
always @ (posedge wb_clk_o)
380
begin
381
  if(eth_ma_wb_ack_i) begin
382
    if(eth_ma_wb_cyc_o & eth_ma_wb_we_o & eth_ma_wb_cti_o==3'b000) begin
383
      if(burst_cnt!==0)
384
        $display("(%0t)(%m) ERROR !!!  burst_cnt should be 0 because this is a single access", $time);
385
      else
386
        single_cnt_rx=single_cnt_rx+1;
387
    end
388
    else if(eth_ma_wb_cyc_o & !eth_ma_wb_we_o & eth_ma_wb_cti_o==3'b000) begin
389
      if(burst_cnt!==0)
390
        $display("(%0t)(%m) ERROR !!!  burst_cnt should be 0 because this is a single access", $time);
391
      else
392
        single_cnt_tx=single_cnt_tx+1;
393
    end
394
    else if(eth_ma_wb_cyc_o & eth_ma_wb_cti_o==3'b010) begin // burst in progress
395
      burst_cnt=burst_cnt+1;
396
    end
397
    else if(eth_ma_wb_cyc_o & eth_ma_wb_we_o & eth_ma_wb_cti_o==3'b111 & burst_cnt==(`ETH_BURST_LENGTH-1)) begin
398
      burst_cnt_rx=burst_cnt_rx+1;
399
      burst_cnt=0;
400
    end
401
    else if(eth_ma_wb_cyc_o & !eth_ma_wb_we_o & eth_ma_wb_cti_o==3'b111 & burst_cnt==(`ETH_BURST_LENGTH-1)) begin
402
      burst_cnt_tx=burst_cnt_tx+1;
403
      burst_cnt=0;
404
    end
405
    else
406
      $display("(%0t)(%m) ERROR !!!  Unknown cycle type or sequence", $time);
407
  end
408
end
409
`endif  // ETH_WISHBONE_B3
410
 
411
 
412
 
413 189 mohor
task initialize_txbd;
414
  input [6:0] txbd_num;
415
 
416
  integer i;
417
  integer bd_status_addr, buf_addr, bd_ptr_addr;
418
 
419
  for(i=0; i<txbd_num; i=i+1) begin
420
    buf_addr = `TX_BUF_BASE + i * 32'h600;
421
    bd_status_addr = `TX_BD_BASE + i * 8;
422
    bd_ptr_addr = bd_status_addr + 4;
423
 
424
    // Initializing BD - status
425
    if(i==txbd_num-1)
426
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00007800);    // last BD: + WRAP
427
    else
428
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00005800);    // IRQ + PAD + CRC
429
 
430
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
431
  end
432
endtask // initialize_txbd
433
 
434
 
435
task initialize_rxbd;
436
  input [6:0] rxbd_num;
437
 
438
  integer i;
439
  integer bd_status_addr, buf_addr, bd_ptr_addr;
440
 
441
  for(i=0; i<rxbd_num; i=i+1) begin
442
    buf_addr = `RX_BUF_BASE + i * 32'h600;
443
    bd_status_addr = `RX_BD_BASE + i * 8;
444
    bd_ptr_addr = bd_status_addr + 4;
445
 
446
    // Initializing BD - status
447
    if(i==rxbd_num-1)
448
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000e000);    // last BD: + WRAP
449
    else
450
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000c000);    // IRQ + PAD + CRC
451
 
452
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
453
  end
454
endtask // initialize_rxbd
455
 
456
 
457
task set_packet;
458
  input  [15:0] len;
459
  input   [7:0] start_data;
460
 
461
  integer i, sd;
462
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
463
 
464
  begin
465
    sd = start_data;
466
    bd_status_addr = `TX_BD_BASE + packet_ready_cnt * 8;
467
    bd_ptr_addr = bd_status_addr + 4;
468
 
469
    // Reading BD + buffer pointer
470
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
471
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
472
 
473
    while(bd & `ETH_TX_BD_READY) begin  // Buffer is ready. Don't touch !!!
474
      repeat(100) @(posedge wb_clk_o);
475
      i=i+1;
476
      eth_host.wb_read(bd_status_addr, 4'hf, bd);
477
      if(i>1000)  begin
478
        $display("(%0t)(%m)Waiting for TxBD ready to clear timeout", $time);
479
        $stop;
480
      end
481
    end
482
 
483
    // First write might not be word allign.
484
    if(buffer[1:0]==1)  begin
485
      eth_host.wb_write(buffer-1, 4'h7, {8'h0, sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2});
486
      sd=sd+3;
487
      i=3;
488
    end
489
    else if(buffer[1:0]==2)  begin
490
      eth_host.wb_write(buffer-2, 4'h3, {16'h0, sd[7:0], sd[7:0]+3'h1});
491
      sd=sd+2;
492
      i=2;
493
    end
494
    else if(buffer[1:0]==3)  begin
495
      eth_host.wb_write(buffer-3, 4'h1, {24'h0, sd[7:0]});
496
      sd=sd+1;
497
      i=1;
498
    end
499
    else
500
      i=0;
501
 
502
 
503
    for(i=i; i<len-4; i=i+4) begin   // Last 0-3 bytes are not written
504
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
505
      sd=sd+4;
506
    end
507
 
508
 
509
    // Last word
510
    if(len-i==3)
511
      eth_host.wb_write(buffer+i, 4'he, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, 8'h0});
512
    else if(len-i==2)
513
      eth_host.wb_write(buffer+i, 4'hc, {sd[7:0], sd[7:0]+3'h1, 16'h0});
514
    else if(len-i==1)
515
      eth_host.wb_write(buffer+i, 4'h8, {sd[7:0], 24'h0});
516
    else if(len-i==4)
517
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
518
    else
519
      $display("(%0t)(%m) ERROR", $time);
520
 
521
 
522
    // Checking WRAP bit
523
    if(bd & `ETH_TX_BD_WRAP)
524
      packet_ready_cnt = 0;
525
    else
526
      packet_ready_cnt = packet_ready_cnt+1;
527
 
528
    // Writing len to bd
529
    bd = bd | (len<<16);
530
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
531
 
532
  end
533
endtask // set_packet
534
 
535
 
536
task send_packet;
537
 
538
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
539
 
540
  begin
541
    bd_status_addr = `TX_BD_BASE + send_packet_cnt * 8;
542
    bd_ptr_addr = bd_status_addr + 4;
543
 
544
    // Reading BD + buffer pointer
545
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
546
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
547
 
548
    if(bd & `ETH_TX_BD_WRAP)
549
      send_packet_cnt=0;
550
    else
551
      send_packet_cnt=send_packet_cnt+1;
552
 
553
    // Setting ETH_TX_BD_READY bit
554
    bd = bd | `ETH_TX_BD_READY;
555
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
556
  end
557
 
558
 
559
endtask // send_packet
560
 
561
 
562
task GetDataOnMRxD;
563
  input [15:0] Len;
564
  input [31:0] TransferType;
565
  integer tt;
566
 
567
  begin
568
    @ (posedge mrx_clk);
569
    #1MRxDV=1'b1;
570
 
571
    for(tt=0; tt<15; tt=tt+1)
572
      begin
573
        MRxD=4'h5;              // preamble
574
        @ (posedge mrx_clk);
575
        #1;
576
      end
577
 
578
    MRxD=4'hd;                // SFD
579
 
580
    for(tt=1; tt<(Len+1); tt=tt+1)
581
      begin
582
        @ (posedge mrx_clk);
583
        #1;
584
            if(TransferType == `UNICAST_XFR && tt == 1)
585
                MRxD= 4'h0;   // Unicast transfer
586
              else if(TransferType == `BROADCAST_XFR && tt < 7)
587
                MRxD = 4'hf;
588
              else
589
          MRxD=tt[3:0]; // Multicast transfer
590
 
591
        @ (posedge mrx_clk);
592
              #1;
593
              if(TransferType == `BROADCAST_XFR && tt < 7)
594
                MRxD = 4'hf;
595
              else
596
          MRxD=tt[7:4];
597
      end
598
 
599
    @ (posedge mrx_clk);
600
    #1;
601
    MRxDV=1'b0;
602
  end
603
endtask // GetDataOnMRxD
604
 
605
 
606
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.