OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [rtl/] [verilog/] [ethmac_defines.v] - Blame information for rev 55

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  eth_defines.v                                               ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6 37 mohor
////  http://www.opencores.org/projects/ethmac/                   ////
7 15 mohor
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001 Authors                                   ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44 55 mohor
// Revision 1.11  2002/02/14 20:19:41  billditt
45
// Modified for Address Checking,
46
// addition of eth_addrcheck.v
47
//
48
// Revision 1.10  2002/02/12 17:01:19  mohor
49
// HASH0 and HASH1 registers added. 
50
 
51 46 mohor
// Revision 1.9  2002/02/08 16:21:54  mohor
52
// Rx status is written back to the BD.
53
//
54 42 mohor
// Revision 1.8  2002/02/05 16:44:38  mohor
55
// Both rx and tx part are finished. Tested with wb_clk_i between 10 and 200
56
// MHz. Statuses, overrun, control frame transmission and reception still  need
57
// to be fixed.
58
//
59 40 mohor
// Revision 1.7  2002/01/23 10:28:16  mohor
60
// Link in the header changed.
61
//
62 37 mohor
// Revision 1.6  2001/12/05 15:00:16  mohor
63
// RX_BD_NUM changed to TX_BD_NUM (holds number of TX descriptors
64
// instead of the number of RX descriptors).
65
//
66 34 mohor
// Revision 1.5  2001/12/05 10:21:37  mohor
67
// ETH_RX_BD_ADR register deleted. ETH_RX_BD_NUM is used instead.
68
//
69 32 mohor
// Revision 1.4  2001/11/13 14:23:56  mohor
70
// Generic memory model is used. Defines are changed for the same reason.
71
//
72 29 mohor
// Revision 1.3  2001/10/18 12:07:11  mohor
73
// Status signals changed, Adress decoding changed, interrupt controller
74
// added.
75
//
76 21 mohor
// Revision 1.2  2001/09/24 15:02:56  mohor
77
// Defines changed (All precede with ETH_). Small changes because some
78
// tools generate warnings when two operands are together. Synchronization
79
// between two clocks domains in eth_wishbonedma.v is changed (due to ASIC
80
// demands).
81
//
82 20 mohor
// Revision 1.1  2001/08/06 14:44:29  mohor
83
// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex).
84
// Include files fixed to contain no path.
85
// File names and module names changed ta have a eth_ prologue in the name.
86
// File eth_timescale.v is used to define timescale
87
// All pin names on the top module are changed to contain _I, _O or _OE at the end.
88
// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O
89
// and Mdo_OE. The bidirectional signal must be created on the top level. This
90
// is done due to the ASIC tools.
91
//
92 15 mohor
// Revision 1.1  2001/07/30 21:23:42  mohor
93
// Directory structure changed. Files checked and joind together.
94
//
95
//
96
//
97
//
98
//
99
 
100 32 mohor
 
101
//`define WISHBONE_DMA                  // Using DMA
102
 
103
 
104 29 mohor
// Selection of the used memory
105
//`define XILINX_RAMB4                // Core is going to be implemented in Virtex FPGA and contains Virtex 
106
                                      // specific elements. 
107 15 mohor
 
108 29 mohor
//`define ARTISAN_SDP                 // Core is going to be implemented in ASIC (using Artisan RAM)
109 15 mohor
 
110
 
111 55 mohor
`define ETH_MODER_ADR         8'h0    // 0x0 
112
`define ETH_INT_SOURCE_ADR    8'h1    // 0x4 
113
`define ETH_INT_MASK_ADR      8'h2    // 0x8 
114
`define ETH_IPGT_ADR          8'h3    // 0xC 
115
`define ETH_IPGR1_ADR         8'h4    // 0x10
116
`define ETH_IPGR2_ADR         8'h5    // 0x14
117
`define ETH_PACKETLEN_ADR     8'h6    // 0x18
118
`define ETH_COLLCONF_ADR      8'h7    // 0x1C
119
`define ETH_TX_BD_NUM_ADR     8'h8    // 0x20
120
`define ETH_CTRLMODER_ADR     8'h9    // 0x24
121
`define ETH_MIIMODER_ADR      8'hA    // 0x28
122
`define ETH_MIICOMMAND_ADR    8'hB    // 0x2C
123
`define ETH_MIIADDRESS_ADR    8'hC    // 0x30
124
`define ETH_MIITX_DATA_ADR    8'hD    // 0x34
125
`define ETH_MIIRX_DATA_ADR    8'hE    // 0x38
126
`define ETH_MIISTATUS_ADR     8'hF    // 0x3C
127
`define ETH_MAC_ADDR0_ADR     8'h10   // 0x40
128
`define ETH_MAC_ADDR1_ADR     8'h11   // 0x44
129
`define ETH_HASH0_ADR         8'h12   // 0x48
130
`define ETH_HASH1_ADR         8'h13   // 0x4C
131 15 mohor
 
132
 
133 40 mohor
`define ETH_MODER_DEF         32'h0000A800
134 20 mohor
`define ETH_INT_SOURCE_DEF    32'h00000000
135
`define ETH_INT_MASK_DEF      32'h00000000
136
`define ETH_IPGT_DEF          32'h00000012
137
`define ETH_IPGR1_DEF         32'h0000000C
138
`define ETH_IPGR2_DEF         32'h00000012
139
`define ETH_PACKETLEN_DEF     32'h003C0600
140 42 mohor
`define ETH_COLLCONF_DEF      32'h000F003f
141 20 mohor
`define ETH_CTRLMODER_DEF     32'h00000000
142
`define ETH_MIIMODER_DEF      32'h00000064
143
`define ETH_MIICOMMAND_DEF    32'h00000000
144
`define ETH_MIIADDRESS_DEF    32'h00000000
145
`define ETH_MIITX_DATA_DEF    32'h00000000
146
`define ETH_MIIRX_DATA_DEF    32'h00000000
147
`define ETH_MIISTATUS_DEF     32'h00000000
148
`define ETH_MAC_ADDR0_DEF     32'h00000000
149
`define ETH_MAC_ADDR1_DEF     32'h00000000
150 46 mohor
`define ETH_HASH0_DEF         32'h00000000
151
`define ETH_HASH1_DEF         32'h00000000
152 15 mohor
 
153 34 mohor
`define ETH_TX_BD_NUM_DEF     8'h80
154 40 mohor
 
155
 
156
// Outputs are registered (uncomment when needed)
157
// `define ETH_REGISTERED_OUTPUTS
158
 
159
`define TX_FIFO_CNT_WIDTH      4
160
`define TX_FIFO_DEPTH          8
161
`define TX_FIFO_DATA_WIDTH    32
162
 
163
`define RX_FIFO_CNT_WIDTH      4
164
`define RX_FIFO_DEPTH          8
165
`define RX_FIFO_DATA_WIDTH    32
166
 
167 55 mohor
`define MULTICAST_XFR          0
168
`define UNICAST_XFR            1
169
`define BROADCAST_XFR          2

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.