OpenCores
URL https://opencores.org/ocsvn/fat_32_file_parser/fat_32_file_parser/trunk

Subversion Repositories fat_32_file_parser

[/] [fat_32_file_parser/] [trunk/] [CLK_Mod.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 craighaywo
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    10:16:23 10/19/2014 
6
-- Design Name: 
7
-- Module Name:    clk_mod - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
library UNISIM;
28
use UNISIM.VComponents.all;
29
 
30
entity clk_mod is
31
    Port ( CLK_50MHz_IN         : in  STD_LOGIC;
32
           CLK_25Mhz_OUT        : out  STD_LOGIC);
33
end clk_mod;
34
 
35
architecture Behavioral of clk_mod is
36
 
37
signal clk0_div2out_bufg, clk0_2xout_tmp, clk0_2xout_bufg :std_logic:='0';
38
 
39
begin
40
 
41
        --CLK_100Mhz_OUT <= clk0_2xout_bufg;
42
 
43
        U0_BUFG : BUFG
44
    port map (I => clk0_2xout_tmp, O => clk0_2xout_bufg);
45
 
46
        U1_BUFG : BUFG
47
    port map (I => clk0_div2out_bufg, O => CLK_25Mhz_OUT);
48
 
49
        DCM_SP_inst : DCM_SP
50
   generic map (
51
      CLKDV_DIVIDE => 2.0,                   -- CLKDV divide value (1.5,2,2.5,3,3.5,4,4.5,5,5.5,6,6.5,7,7.5,8,9,10,11,12,13,14,15,16).
52
      CLKFX_DIVIDE => 1,                     -- Divide value on CLKFX outputs - D - (1-32)
53
      CLKFX_MULTIPLY => 2,                   -- Multiply value on CLKFX outputs - M - (2-32)
54
      CLKIN_DIVIDE_BY_2 => FALSE,            -- CLKIN divide by two (TRUE/FALSE)
55
      CLKIN_PERIOD => 20.0,                  -- Input clock period specified in nS
56
      CLKOUT_PHASE_SHIFT => "NONE",          -- Output phase shift (NONE, FIXED, VARIABLE)
57
      CLK_FEEDBACK => "2X",                  -- Feedback source (NONE, 1X, 2X)
58
      DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SYSTEM_SYNCHRNOUS or SOURCE_SYNCHRONOUS
59
      DFS_FREQUENCY_MODE => "LOW",           -- Unsupported - Do not change value
60
      DLL_FREQUENCY_MODE => "LOW",           -- Unsupported - Do not change value
61
      DSS_MODE => "NONE",                    -- Unsupported - Do not change value
62
      DUTY_CYCLE_CORRECTION => TRUE,         -- Unsupported - Do not change value
63
      FACTORY_JF => X"c080",                 -- Unsupported - Do not change value
64
      PHASE_SHIFT => 0,                      -- Amount of fixed phase shift (-255 to 255)
65
      STARTUP_WAIT => FALSE                  -- Delay configock frequency clock output
66
                )
67
   port map (
68
      CLK2X180 => open,                                 -- 1-bit output: 2X clock frequency, 180 degree clock output
69
      CLK90     => open,                -- 1-bit output: 90 degree clock output
70
      CLKDV     => clk0_div2out_bufg,  -- 1-bit output: Divided clock output
71
      CLKFX     => open,                -- 1-bit output: Digital Frequency Synthesizer output (DFS)
72
      CLKFX180 => open,                                 -- 1-bit output: 180 degree CLKFX output
73
      LOCKED    => open,                        -- 1-bit output: DCM_SP Lock Output
74
      PSDONE    => open,                        -- 1-bit output: Phase shift done output
75
      STATUS    => open,                        -- 8-bit output: DCM_SP status output
76
      CLKFB     => clk0_2xout_bufg,  -- 1-bit input: Cl DONE until DCM_SP LOCKED (TRUE/FALSE)
77
      CLK0              => open,  -- 1-bit output: 0 degree clock output
78
      CLK180    => open,                        -- 1-bit output: 180 degree clock output
79
      CLK270    => open,                        -- 1-bit output: 270 degree clock output
80
      CLK2X     => clk0_2xout_tmp,   -- 1-bit output: 2X clock feedback input
81
      CLKIN     => CLK_50MHz_IN,     -- 1-bit input: Clock input
82
      DSSEN     => '0',                          -- 1-bit input: Unsupported, specify to GND.
83
      PSCLK     => '0',                          -- 1-bit input: Phase shift clock input
84
      PSEN              => '0',                  -- 1-bit input: Phase shift enable
85
      PSINCDEC => '0',                                   -- 1-bit input: Phase shift increment/decrement input
86
      RST               => '0'                   -- 1-bit input: Active high reset input
87
   );
88
 
89
end Behavioral;
90
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.