OpenCores
URL https://opencores.org/ocsvn/forwardcom/forwardcom/trunk

Subversion Repositories forwardcom

[/] [forwardcom/] [trunk/] [bitstream_settings_a.xdc] - Blame information for rev 27

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 Agner
# ForwardCom soft core
2
# Constraints for Nexys Artix-7 100T board
3
# Agner Fog 2021-07-31
4
 
5
 
6
set_property CONFIG_VOLTAGE 3.3 [current_design]
7
set_property CFGBVS VCCO [current_design]
8
 
9
# Board input clock 100 MHz (this affects simulation only)
10
set_property -dict {PACKAGE_PIN E3 IOSTANDARD LVCMOS33} [get_ports clock100]
11
create_clock -period 10.000 -name sys_clk_pin -waveform {0.000 5.000} -add [get_ports clock100]
12
 
13
# Make data ram use block ram
14
# set_property RAM_STYLE BLOCK [get_cells -hierarchical dataram*]
15
 
16
 
17
# I have problems finding out what to write here to get rid of timing warnings
18
# for inputs and outputs. Feel free to change it or remove it.
19
#set_input_delay -clock [get_clocks sys_clk_pin]  0.000 [get_ports resetButton]
20
#set_input_delay -clock [get_clocks sys_clk_pin] -min -add_delay 0.000 [get_ports resetButton]
21
#set_input_delay -clock [get_clocks sys_clk_pin] -max -add_delay 0.000 [get_ports resetButton]
22
#set_input_delay -clock [get_clocks sys_clk_pin]  0.000 [get_ports resetButtonD]
23
#set_input_delay -clock [get_clocks sys_clk_pin]  0.000 [get_ports stepButton]
24
#set_input_delay -clock [get_clocks sys_clk_pin]  0.000 [get_ports stepButtonD]
25
#set_input_delay -clock [get_clocks sys_clk_pin]  0.000 [get_ports switch0]
26
#set_input_delay -clock [get_clocks sys_clk_pin]  0.000 [get_ports switch1]
27
#set_input_delay -clock [get_clocks sys_clk_pin]  0.000 [get_ports switch14]
28
#set_input_delay -clock [get_clocks sys_clk_pin]  0.000 [get_ports switch15]
29
#set_input_delay -clock [get_clocks sys_clk_pin]  0.000 [get_ports switch2]
30
#set_input_delay -clock [get_clocks sys_clk_pin]  0.000 [get_ports switch3]
31
#set_input_delay -clock [get_clocks sys_clk_pin]  0.000 [get_ports switch4]
32
#
33
## "-min" = hold time, "-max" = setup time
34
#set_output_delay -clock [get_clocks sys_clk_pin] -min -1.000 [get_ports {digit7seg[*]}]
35
#set_output_delay -clock [get_clocks sys_clk_pin] -max -4.000 [get_ports {digit7seg[*]}]
36
#set_output_delay -clock [get_clocks sys_clk_pin] -min -1.000 [get_ports {segment7seg[*]}]
37
#set_output_delay -clock [get_clocks sys_clk_pin] -max -4.000 [get_ports {segment7seg[*]}]
38
##set_output_delay -clock [get_clocks sys_clk_pin] -max -add_delay  5.000 [get_ports {digit7seg[*]}]
39
#set_output_delay -clock [get_clocks sys_clk_pin]  -min -1.000 [get_ports led0]
40
#set_output_delay -clock [get_clocks sys_clk_pin]  -max -4.000 [get_ports led0]
41
#set_output_delay -clock [get_clocks sys_clk_pin]  -min -1.000 [get_ports led1]
42
#set_output_delay -clock [get_clocks sys_clk_pin]  -max -4.000 [get_ports led1]
43
#set_output_delay -clock [get_clocks sys_clk_pin]  -min -1.000 [get_ports led2]
44
#set_output_delay -clock [get_clocks sys_clk_pin]  -max -4.000 [get_ports led2]
45
#set_output_delay -clock [get_clocks sys_clk_pin]  -min -2.000 [get_ports led12]
46
#set_output_delay -clock [get_clocks sys_clk_pin]  -max -4.000 [get_ports led12]
47
#set_output_delay -clock [get_clocks sys_clk_pin]  -min -2.000 [get_ports led13]
48
#set_output_delay -clock [get_clocks sys_clk_pin]  -max -4.000 [get_ports led13]
49
#set_output_delay -clock [get_clocks sys_clk_pin]  -min -2.000 [get_ports led15]
50
#set_output_delay -clock [get_clocks sys_clk_pin]  -max -4.000 [get_ports led15]
51
 
52
#set_switching_activity -toggle_rate 0.010 -static_probability 0.100 [get_nets {register_file_inst/genblk1[0].registers[0][63]_i_1_n_0}]
53
 
54
# generated by constraints wizard:
55
set_clock_groups -physically_exclusive -group [get_clocks -include_generated_clocks clock100] -group [get_clocks -include_generated_clocks sys_clk_pin]
56
set_clock_groups -physically_exclusive -group [get_clocks -include_generated_clocks clkfbout_clock_generator] -group [get_clocks -include_generated_clocks clkfbout_clock_generator_1]
57
set_clock_groups -physically_exclusive -group [get_clocks -include_generated_clocks clk_out_clock_generator] -group [get_clocks -include_generated_clocks clk_out_clock_generator_1]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.