OpenCores
URL https://opencores.org/ocsvn/fp_log/fp_log/trunk

Subversion Repositories fp_log

[/] [fp_log/] [trunk/] [LAU/] [Virtex 4/] [DP-LAU/] [comp_eq_111111111.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: comp_eq_111111111.vhd
10
-- /___/   /\     Timestamp: Tue Sep 22 14:14:53 2009
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\comp_eq_111111111.ngc" "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\comp_eq_111111111.vhd" 
15
-- Device       : 4vsx55ff1148-12
16
-- Input file   : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/comp_eq_111111111.ngc
17
-- Output file  : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/comp_eq_111111111.vhd
18
-- # of Entities        : 1
19
-- Design Name  : comp_eq_111111111
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity comp_eq_111111111 is
44
  port (
45
    sclr : in STD_LOGIC := 'X';
46
    qa_eq_b : out STD_LOGIC;
47
    clk : in STD_LOGIC := 'X';
48
    a : in STD_LOGIC_VECTOR ( 8 downto 0 )
49
  );
50
end comp_eq_111111111;
51
 
52
architecture STRUCTURE of comp_eq_111111111 is
53
  signal BU2_N01 : STD_LOGIC;
54
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o8_14 : STD_LOGIC;
55
 
56
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result : STD_LOGIC;
57
  signal BU2_a_ge_b : STD_LOGIC;
58
  signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
59
  signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
60
  signal a_2 : STD_LOGIC_VECTOR ( 8 downto 0 );
61
begin
62
  a_2(8) <= a(8);
63
  a_2(7) <= a(7);
64
  a_2(6) <= a(6);
65
  a_2(5) <= a(5);
66
  a_2(4) <= a(4);
67
  a_2(3) <= a(3);
68
  a_2(2) <= a(2);
69
  a_2(1) <= a(1);
70
  a_2(0) <= a(0);
71
  VCC_0 : VCC
72
    port map (
73
      P => NLW_VCC_P_UNCONNECTED
74
    );
75
  GND_1 : GND
76
    port map (
77
      G => NLW_GND_G_UNCONNECTED
78
    );
79
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o15 :
80
LUT4
81
    generic map(
82
      INIT => X"8000"
83
    )
84
    port map (
85
      I0 =>
86
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o8_14
87
,
88
      I1 => a_2(6),
89
      I2 => a_2(5),
90
      I3 => BU2_N01,
91
      O => BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result
92
    );
93
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o15_SW0 :
94
LUT4
95
    generic map(
96
      INIT => X"8000"
97
    )
98
    port map (
99
      I0 => a_2(4),
100
      I1 => a_2(3),
101
      I2 => a_2(2),
102
      I3 => a_2(1),
103
      O => BU2_N01
104
    );
105
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o8 :
106
LUT3
107
    generic map(
108
      INIT => X"80"
109
    )
110
    port map (
111
      I0 => a_2(7),
112
      I1 => a_2(0),
113
      I2 => a_2(8),
114
      O =>
115
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o8_14
116
 
117
    );
118
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_gen_output_reg_output_reg_fd_output_1 : FDR
119
    generic map(
120
      INIT => '0'
121
    )
122
    port map (
123
      C => clk,
124
      D => BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result,
125
      R => sclr,
126
      Q => qa_eq_b
127
    );
128
  BU2_XST_GND : GND
129
    port map (
130
      G => BU2_a_ge_b
131
    );
132
 
133
end STRUCTURE;
134
 
135
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.