OpenCores
URL https://opencores.org/ocsvn/fp_log/fp_log/trunk

Subversion Repositories fp_log

[/] [fp_log/] [trunk/] [LAU/] [Virtex 4/] [DP-LAU/] [mant_lut_MEM.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: mant_lut_MEM.vhd
10
-- /___/   /\     Timestamp: Fri Sep 18 14:12:25 2009
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\mant_lut_MEM.ngc" "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\mant_lut_MEM.vhd" 
15
-- Device       : 4vsx55ff1148-12
16
-- Input file   : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/mant_lut_MEM.ngc
17
-- Output file  : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/mant_lut_MEM.vhd
18
-- # of Entities        : 1
19
-- Design Name  : mant_lut_MEM
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity mant_lut_MEM is
44
  port (
45
    clka : in STD_LOGIC := 'X';
46
    addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
47
    douta : out STD_LOGIC_VECTOR ( 26 downto 0 )
48
  );
49
end mant_lut_MEM;
50
 
51
architecture STRUCTURE of mant_lut_MEM is
52
  signal BU2_N1 : STD_LOGIC;
53
  signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
54
  signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
55
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
56
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
57
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED : STD_LOGIC;
58
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED : STD_LOGIC;
59
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED : STD_LOGIC;
60
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED : STD_LOGIC;
61
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED : STD_LOGIC;
62
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED : STD_LOGIC;
63
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED : STD_LOGIC;
64
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED : STD_LOGIC;
65
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED : STD_LOGIC;
66
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED : STD_LOGIC;
67
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED : STD_LOGIC;
68
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED : STD_LOGIC;
69
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED : STD_LOGIC;
70
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED : STD_LOGIC;
71
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED : STD_LOGIC;
72
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED : STD_LOGIC;
73
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED : STD_LOGIC;
74
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED : STD_LOGIC;
75
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED : STD_LOGIC;
76
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED : STD_LOGIC;
77
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED : STD_LOGIC;
78
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED : STD_LOGIC;
79
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED : STD_LOGIC;
80
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED : STD_LOGIC;
81
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED : STD_LOGIC;
82
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED : STD_LOGIC;
83
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED : STD_LOGIC;
84
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED : STD_LOGIC;
85
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED : STD_LOGIC;
86
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED : STD_LOGIC;
87
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED : STD_LOGIC;
88
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED : STD_LOGIC;
89
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED : STD_LOGIC;
90
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED : STD_LOGIC;
91
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED : STD_LOGIC;
92
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED : STD_LOGIC;
93
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED : STD_LOGIC;
94
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED : STD_LOGIC;
95
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED : STD_LOGIC;
96
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED : STD_LOGIC;
97
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED : STD_LOGIC;
98
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED : STD_LOGIC;
99
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED : STD_LOGIC;
100
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED : STD_LOGIC;
101
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED : STD_LOGIC;
102
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED : STD_LOGIC;
103
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED : STD_LOGIC;
104
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED : STD_LOGIC;
105
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED : STD_LOGIC;
106
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED : STD_LOGIC;
107
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED : STD_LOGIC;
108
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED : STD_LOGIC;
109
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED : STD_LOGIC;
110
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED : STD_LOGIC;
111
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED : STD_LOGIC;
112
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED : STD_LOGIC;
113
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED : STD_LOGIC;
114
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED : STD_LOGIC;
115
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED : STD_LOGIC;
116
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED : STD_LOGIC;
117
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED : STD_LOGIC;
118
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED : STD_LOGIC;
119
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED : STD_LOGIC;
120
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
121
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
122
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED : STD_LOGIC;
123
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED : STD_LOGIC;
124
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED : STD_LOGIC;
125
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED : STD_LOGIC;
126
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED : STD_LOGIC;
127
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED : STD_LOGIC;
128
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED : STD_LOGIC;
129
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED : STD_LOGIC;
130
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED : STD_LOGIC;
131
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED : STD_LOGIC;
132
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED : STD_LOGIC;
133
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED : STD_LOGIC;
134
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED : STD_LOGIC;
135
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED : STD_LOGIC;
136
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED : STD_LOGIC;
137
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED : STD_LOGIC;
138
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED : STD_LOGIC;
139
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED : STD_LOGIC;
140
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED : STD_LOGIC;
141
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED : STD_LOGIC;
142
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED : STD_LOGIC;
143
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED : STD_LOGIC;
144
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED : STD_LOGIC;
145
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED : STD_LOGIC;
146
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED : STD_LOGIC;
147
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED : STD_LOGIC;
148
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED : STD_LOGIC;
149
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED : STD_LOGIC;
150
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED : STD_LOGIC;
151
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED : STD_LOGIC;
152
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED : STD_LOGIC;
153
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED : STD_LOGIC;
154
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED : STD_LOGIC;
155
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED : STD_LOGIC;
156
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED : STD_LOGIC;
157
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED : STD_LOGIC;
158
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED : STD_LOGIC;
159
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED : STD_LOGIC;
160
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED : STD_LOGIC;
161
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED : STD_LOGIC;
162
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED : STD_LOGIC;
163
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED : STD_LOGIC;
164
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED : STD_LOGIC;
165
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED : STD_LOGIC;
166
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED : STD_LOGIC;
167
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED : STD_LOGIC;
168
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED : STD_LOGIC;
169
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED : STD_LOGIC;
170
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED : STD_LOGIC;
171
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED : STD_LOGIC;
172
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED : STD_LOGIC;
173
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED : STD_LOGIC;
174
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED : STD_LOGIC;
175
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED : STD_LOGIC;
176
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED : STD_LOGIC;
177
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED : STD_LOGIC;
178
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED : STD_LOGIC;
179
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED : STD_LOGIC;
180
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED : STD_LOGIC;
181
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED : STD_LOGIC;
182
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED : STD_LOGIC;
183
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED : STD_LOGIC;
184
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED : STD_LOGIC;
185
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
186
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
187
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED : STD_LOGIC;
188
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED : STD_LOGIC;
189
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED : STD_LOGIC;
190
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED : STD_LOGIC;
191
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED : STD_LOGIC;
192
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED : STD_LOGIC;
193
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED : STD_LOGIC;
194
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED : STD_LOGIC;
195
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED : STD_LOGIC;
196
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED : STD_LOGIC;
197
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED : STD_LOGIC;
198
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED : STD_LOGIC;
199
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED : STD_LOGIC;
200
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED : STD_LOGIC;
201
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED : STD_LOGIC;
202
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED : STD_LOGIC;
203
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED : STD_LOGIC;
204
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED : STD_LOGIC;
205
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED : STD_LOGIC;
206
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED : STD_LOGIC;
207
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED : STD_LOGIC;
208
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED : STD_LOGIC;
209
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED : STD_LOGIC;
210
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED : STD_LOGIC;
211
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED : STD_LOGIC;
212
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED : STD_LOGIC;
213
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED : STD_LOGIC;
214
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED : STD_LOGIC;
215
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED : STD_LOGIC;
216
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED : STD_LOGIC;
217
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED : STD_LOGIC;
218
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED : STD_LOGIC;
219
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED : STD_LOGIC;
220
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED : STD_LOGIC;
221
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED : STD_LOGIC;
222
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED : STD_LOGIC;
223
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED : STD_LOGIC;
224
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED : STD_LOGIC;
225
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED : STD_LOGIC;
226
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED : STD_LOGIC;
227
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED : STD_LOGIC;
228
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED : STD_LOGIC;
229
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED : STD_LOGIC;
230
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED : STD_LOGIC;
231
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED : STD_LOGIC;
232
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED : STD_LOGIC;
233
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED : STD_LOGIC;
234
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED : STD_LOGIC;
235
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED : STD_LOGIC;
236
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED : STD_LOGIC;
237
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED : STD_LOGIC;
238
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED : STD_LOGIC;
239
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED : STD_LOGIC;
240
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED : STD_LOGIC;
241
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED : STD_LOGIC;
242
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED : STD_LOGIC;
243
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED : STD_LOGIC;
244
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED : STD_LOGIC;
245
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED : STD_LOGIC;
246
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED : STD_LOGIC;
247
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED : STD_LOGIC;
248
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED : STD_LOGIC;
249
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED : STD_LOGIC;
250
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
251
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
252
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED : STD_LOGIC;
253
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED : STD_LOGIC;
254
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED : STD_LOGIC;
255
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED : STD_LOGIC;
256
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED : STD_LOGIC;
257
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED : STD_LOGIC;
258
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED : STD_LOGIC;
259
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED : STD_LOGIC;
260
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED : STD_LOGIC;
261
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED : STD_LOGIC;
262
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED : STD_LOGIC;
263
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED : STD_LOGIC;
264
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED : STD_LOGIC;
265
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED : STD_LOGIC;
266
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED : STD_LOGIC;
267
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED : STD_LOGIC;
268
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED : STD_LOGIC;
269
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED : STD_LOGIC;
270
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED : STD_LOGIC;
271
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED : STD_LOGIC;
272
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED : STD_LOGIC;
273
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED : STD_LOGIC;
274
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED : STD_LOGIC;
275
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED : STD_LOGIC;
276
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED : STD_LOGIC;
277
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED : STD_LOGIC;
278
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED : STD_LOGIC;
279
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED : STD_LOGIC;
280
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED : STD_LOGIC;
281
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED : STD_LOGIC;
282
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED : STD_LOGIC;
283
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED : STD_LOGIC;
284
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED : STD_LOGIC;
285
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED : STD_LOGIC;
286
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED : STD_LOGIC;
287
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED : STD_LOGIC;
288
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED : STD_LOGIC;
289
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED : STD_LOGIC;
290
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED : STD_LOGIC;
291
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED : STD_LOGIC;
292
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED : STD_LOGIC;
293
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED : STD_LOGIC;
294
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED : STD_LOGIC;
295
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED : STD_LOGIC;
296
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED : STD_LOGIC;
297
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED : STD_LOGIC;
298
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED : STD_LOGIC;
299
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED : STD_LOGIC;
300
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED : STD_LOGIC;
301
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED : STD_LOGIC;
302
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED : STD_LOGIC;
303
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED : STD_LOGIC;
304
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED : STD_LOGIC;
305
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED : STD_LOGIC;
306
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED : STD_LOGIC;
307
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED : STD_LOGIC;
308
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED : STD_LOGIC;
309
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED : STD_LOGIC;
310
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED : STD_LOGIC;
311
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED : STD_LOGIC;
312
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED : STD_LOGIC;
313
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED : STD_LOGIC;
314
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED : STD_LOGIC;
315
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
316
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
317
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED : STD_LOGIC;
318
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED : STD_LOGIC;
319
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED : STD_LOGIC;
320
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED : STD_LOGIC;
321
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED : STD_LOGIC;
322
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED : STD_LOGIC;
323
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED : STD_LOGIC;
324
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED : STD_LOGIC;
325
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED : STD_LOGIC;
326
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED : STD_LOGIC;
327
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED : STD_LOGIC;
328
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED : STD_LOGIC;
329
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED : STD_LOGIC;
330
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED : STD_LOGIC;
331
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED : STD_LOGIC;
332
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED : STD_LOGIC;
333
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED : STD_LOGIC;
334
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED : STD_LOGIC;
335
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED : STD_LOGIC;
336
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED : STD_LOGIC;
337
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED : STD_LOGIC;
338
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED : STD_LOGIC;
339
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED : STD_LOGIC;
340
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED : STD_LOGIC;
341
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED : STD_LOGIC;
342
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED : STD_LOGIC;
343
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED : STD_LOGIC;
344
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED : STD_LOGIC;
345
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED : STD_LOGIC;
346
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED : STD_LOGIC;
347
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED : STD_LOGIC;
348
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED : STD_LOGIC;
349
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED : STD_LOGIC;
350
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED : STD_LOGIC;
351
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED : STD_LOGIC;
352
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED : STD_LOGIC;
353
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED : STD_LOGIC;
354
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED : STD_LOGIC;
355
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED : STD_LOGIC;
356
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED : STD_LOGIC;
357
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED : STD_LOGIC;
358
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED : STD_LOGIC;
359
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED : STD_LOGIC;
360
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED : STD_LOGIC;
361
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED : STD_LOGIC;
362
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED : STD_LOGIC;
363
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED : STD_LOGIC;
364
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED : STD_LOGIC;
365
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED : STD_LOGIC;
366
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED : STD_LOGIC;
367
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED : STD_LOGIC;
368
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED : STD_LOGIC;
369
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED : STD_LOGIC;
370
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED : STD_LOGIC;
371
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED : STD_LOGIC;
372
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED : STD_LOGIC;
373
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED : STD_LOGIC;
374
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED : STD_LOGIC;
375
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED : STD_LOGIC;
376
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED : STD_LOGIC;
377
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED : STD_LOGIC;
378
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED : STD_LOGIC;
379
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED : STD_LOGIC;
380
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
381
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
382
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED : STD_LOGIC;
383
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED : STD_LOGIC;
384
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED : STD_LOGIC;
385
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED : STD_LOGIC;
386
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED : STD_LOGIC;
387
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED : STD_LOGIC;
388
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED : STD_LOGIC;
389
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED : STD_LOGIC;
390
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED : STD_LOGIC;
391
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED : STD_LOGIC;
392
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED : STD_LOGIC;
393
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED : STD_LOGIC;
394
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED : STD_LOGIC;
395
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED : STD_LOGIC;
396
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED : STD_LOGIC;
397
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED : STD_LOGIC;
398
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED : STD_LOGIC;
399
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED : STD_LOGIC;
400
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED : STD_LOGIC;
401
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED : STD_LOGIC;
402
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED : STD_LOGIC;
403
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED : STD_LOGIC;
404
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED : STD_LOGIC;
405
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED : STD_LOGIC;
406
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED : STD_LOGIC;
407
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED : STD_LOGIC;
408
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED : STD_LOGIC;
409
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED : STD_LOGIC;
410
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED : STD_LOGIC;
411
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED : STD_LOGIC;
412
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED : STD_LOGIC;
413
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED : STD_LOGIC;
414
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED : STD_LOGIC;
415
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED : STD_LOGIC;
416
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED : STD_LOGIC;
417
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED : STD_LOGIC;
418
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED : STD_LOGIC;
419
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED : STD_LOGIC;
420
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED : STD_LOGIC;
421
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED : STD_LOGIC;
422
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED : STD_LOGIC;
423
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED : STD_LOGIC;
424
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED : STD_LOGIC;
425
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED : STD_LOGIC;
426
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED : STD_LOGIC;
427
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED : STD_LOGIC;
428
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED : STD_LOGIC;
429
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED : STD_LOGIC;
430
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED : STD_LOGIC;
431
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED : STD_LOGIC;
432
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED : STD_LOGIC;
433
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED : STD_LOGIC;
434
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED : STD_LOGIC;
435
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED : STD_LOGIC;
436
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED : STD_LOGIC;
437
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED : STD_LOGIC;
438
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED : STD_LOGIC;
439
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED : STD_LOGIC;
440
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED : STD_LOGIC;
441
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED : STD_LOGIC;
442
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED : STD_LOGIC;
443
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED : STD_LOGIC;
444
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED : STD_LOGIC;
445
  signal addra_2 : STD_LOGIC_VECTOR ( 11 downto 0 );
446
  signal douta_3 : STD_LOGIC_VECTOR ( 26 downto 0 );
447
  signal BU2_U0_blk_mem_generator_valid_cstr_ena_array : STD_LOGIC_VECTOR ( 0 downto 0 );
448
  signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta : STD_LOGIC_VECTOR ( 8 downto 0 );
449
  signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta1 : STD_LOGIC_VECTOR ( 8 downto 0 );
450
  signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta3 : STD_LOGIC_VECTOR ( 8 downto 0 );
451
  signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe : STD_LOGIC_VECTOR ( 0 downto 0 );
452
  signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta0 : STD_LOGIC_VECTOR ( 8 downto 0 );
453
  signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta2 : STD_LOGIC_VECTOR ( 8 downto 0 );
454
  signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta4 : STD_LOGIC_VECTOR ( 8 downto 0 );
455
  signal BU2_doutb : STD_LOGIC_VECTOR ( 0 downto 0 );
456
begin
457
  addra_2(11) <= addra(11);
458
  addra_2(10) <= addra(10);
459
  addra_2(9) <= addra(9);
460
  addra_2(8) <= addra(8);
461
  addra_2(7) <= addra(7);
462
  addra_2(6) <= addra(6);
463
  addra_2(5) <= addra(5);
464
  addra_2(4) <= addra(4);
465
  addra_2(3) <= addra(3);
466
  addra_2(2) <= addra(2);
467
  addra_2(1) <= addra(1);
468
  addra_2(0) <= addra(0);
469
  douta(26) <= douta_3(26);
470
  douta(25) <= douta_3(25);
471
  douta(24) <= douta_3(24);
472
  douta(23) <= douta_3(23);
473
  douta(22) <= douta_3(22);
474
  douta(21) <= douta_3(21);
475
  douta(20) <= douta_3(20);
476
  douta(19) <= douta_3(19);
477
  douta(18) <= douta_3(18);
478
  douta(17) <= douta_3(17);
479
  douta(16) <= douta_3(16);
480
  douta(15) <= douta_3(15);
481
  douta(14) <= douta_3(14);
482
  douta(13) <= douta_3(13);
483
  douta(12) <= douta_3(12);
484
  douta(11) <= douta_3(11);
485
  douta(10) <= douta_3(10);
486
  douta(9) <= douta_3(9);
487
  douta(8) <= douta_3(8);
488
  douta(7) <= douta_3(7);
489
  douta(6) <= douta_3(6);
490
  douta(5) <= douta_3(5);
491
  douta(4) <= douta_3(4);
492
  douta(3) <= douta_3(3);
493
  douta(2) <= douta_3(2);
494
  douta(1) <= douta_3(1);
495
  douta(0) <= douta_3(0);
496
  VCC_0 : VCC
497
    port map (
498
      P => NLW_VCC_P_UNCONNECTED
499
    );
500
  GND_1 : GND
501
    port map (
502
      G => NLW_GND_G_UNCONNECTED
503
    );
504
  BU2_U0_blk_mem_generator_valid_cstr_bindec_a_bindec_inst_a_enout_0_mux00001_INV_0 : INV
505
    port map (
506
      I => addra_2(11),
507
      O => BU2_U0_blk_mem_generator_valid_cstr_ena_array(0)
508
    );
509
  BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP : RAMB16
510
    generic map(
511
      DOA_REG => 0,
512
      DOB_REG => 0,
513
      INIT_A => X"000000000",
514
      INIT_B => X"000000000",
515
      INITP_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000",
516
      INITP_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
517
      INITP_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
518
      INITP_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
519
      INITP_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
520
      INITP_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
521
      SRVAL_A => X"000000000",
522
      INIT_00 => X"FDFBF9F7F5F3F1EFEDEBE9E7E5E3E1DFDBD7D3CFCBC7C3BFB7AFA79F8F7F5F00",
523
      INIT_01 => X"1E1D1C1B1A191817161514131211100F0E0D0C0B0A09080706050403020100FF",
524
      INIT_02 => X"2E2E2D2D2D2C2C2B2B2A2A29292828272726262525242423232222212120201F",
525
      INIT_03 => X"3E3E3D3D3C3C3B3B3A3A39393838373736363535343433333232313130302F2F",
526
      INIT_04 => X"46464646464545454544444444434343434242424241414141404040403F3F3F",
527
      INIT_05 => X"4E4E4E4D4D4D4D4C4C4C4C4C4B4B4B4B4A4A4A4A494949494848484847474747",
528
      INIT_06 => X"5656555555555454545453535353525252525251515151505050504F4F4F4F4E",
529
      INIT_07 => X"5D5D5D5D5C5C5C5C5B5B5B5B5B5A5A5A5A595959595858585857575757565656",
530
      INIT_08 => X"62626262626261616161616161616160606060606060605F5F5F5F5F5E5E5E5E",
531
      INIT_09 => X"6666666665656565656565656564646464646464646363636363636363636262",
532
      INIT_0A => X"6A69696969696969696968686868686868686867676767676767676666666666",
533
      INIT_0B => X"6D6D6D6D6D6D6D6C6C6C6C6C6C6C6C6C6B6B6B6B6B6B6B6B6B6A6A6A6A6A6A6A",
534
      INIT_0C => X"717171717070707070707070706F6F6F6F6F6F6F6F6F6E6E6E6E6E6E6E6E6D6D",
535
      INIT_0D => X"7574747474747474747473737373737373737272727272727272727171717171",
536
      INIT_0E => X"7878787878787777777777777777777676767676767676767575757575757575",
537
      INIT_0F => X"7C7C7B7B7B7B7B7B7B7B7B7A7A7A7A7A7A7A7A7A797979797979797979787878",
538
      INIT_10 => X"7F7F7F7F7F7F7F7E7E7E7E7E7E7E7E7E7D7D7D7D7D7D7D7D7D7C7C7C7C7C7C7C",
539
      INIT_11 => X"8181818181818181818181818080808080808080808080808080808080807F7F",
540
      INIT_12 => X"8383838383838382828282828282828282828282828282828282818181818181",
541
      INIT_13 => X"8585858484848484848484848484848484848484848383838383838383838383",
542
      INIT_14 => X"8686868686868686868686868686868685858585858585858585858585858585",
543
      INIT_15 => X"8888888888888888888888878787878787878787878787878787878787868686",
544
      INIT_16 => X"8A8A8A8A8A898989898989898989898989898989898989898888888888888888",
545
      INIT_17 => X"8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8A8A8A8A8A8A8A8A8A8A8A8A8A8A",
546
      INIT_18 => X"8D8D8D8D8D8D8D8D8D8D8D8D8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8B",
547
      INIT_19 => X"8F8F8F8F8F8F8E8E8E8E8E8E8E8E8E8E8E8E8E8E8E8E8E8E8E8D8D8D8D8D8D8D",
548
      INIT_1A => X"909090909090909090909090909090909090908F8F8F8F8F8F8F8F8F8F8F8F8F",
549
      INIT_1B => X"9292929292929292929292929191919191919191919191919191919191919190",
550
      INIT_1C => X"9494949494939393939393939393939393939393939393939392929292929292",
551
      INIT_1D => X"9595959595959595959595959595959595949494949494949494949494949494",
552
      INIT_1E => X"9797979797979797979796969696969696969696969696969696969696969595",
553
      INIT_1F => X"9999989898989898989898989898989898989898989897979797979797979797",
554
      INIT_20 => X"9A9A9A9A9A9A9A9A9A9A9A9A9A9A999999999999999999999999999999999999",
555
      INIT_21 => X"9C9C9C9C9C9C9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9A9A9A9A9A9A",
556
      INIT_22 => X"9D9D9D9D9D9D9D9D9D9D9D9D9D9D9D9D9D9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C",
557
      INIT_23 => X"9F9F9F9F9F9F9F9F9F9E9E9E9E9E9E9E9E9E9E9E9E9E9E9E9E9E9E9E9E9D9D9D",
558
      INIT_24 => X"A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A09F9F9F9F9F9F9F9F9F9F9F9F",
559
      INIT_25 => X"A1A1A1A1A1A1A1A1A1A1A1A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0",
560
      INIT_26 => X"A2A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1",
561
      INIT_27 => X"A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2",
562
      INIT_28 => X"A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A2A2A2A2A2A2A2A2A2",
563
      INIT_29 => X"A4A4A4A4A4A4A4A4A4A4A4A4A4A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3",
564
      INIT_2A => X"A5A5A5A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4A4",
565
      INIT_2B => X"A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5",
566
      INIT_2C => X"A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A5A5A5A5A5A5A5A5",
567
      INIT_2D => X"A7A7A7A7A7A7A7A7A7A7A7A7A7A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6",
568
      INIT_2E => X"A8A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7A7",
569
      INIT_2F => X"A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8A8",
570
      INIT_30 => X"A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A8A8A8A8A8A8A8A8A8A8A8",
571
      INIT_31 => X"AAAAAAAAAAAAAAAAAAA9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9A9",
572
      INIT_32 => X"AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA",
573
      INIT_33 => X"ABABABABABABABABABABABABABABABABABABABABABABABABABABABABAAAAAAAA",
574
      INIT_34 => X"ACACACACACACACACACACACACACACACABABABABABABABABABABABABABABABABAB",
575
      INIT_35 => X"ADADACACACACACACACACACACACACACACACACACACACACACACACACACACACACACAC",
576
      INIT_36 => X"ADADADADADADADADADADADADADADADADADADADADADADADADADADADADADADADAD",
577
      INIT_37 => X"AEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEADADADADADADADADADADADAD",
578
      INIT_38 => X"AFAFAFAFAFAFAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAE",
579
      INIT_39 => X"AFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFAF",
580
      INIT_3A => X"B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0AFAFAFAFAFAFAFAF",
581
      INIT_3B => X"B1B1B1B1B1B1B1B1B1B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0",
582
      INIT_3C => X"B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1",
583
      INIT_3D => X"B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B1B1B1B1B1B1",
584
      INIT_3E => X"B3B3B3B3B3B3B3B3B3B3B3B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2",
585
      INIT_3F => X"B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3B3",
586
      INIT_FILE => "NONE",
587
      INVERT_CLK_DOA_REG => FALSE,
588
      INVERT_CLK_DOB_REG => FALSE,
589
      RAM_EXTENSION_A => "NONE",
590
      RAM_EXTENSION_B => "NONE",
591
      READ_WIDTH_A => 9,
592
      READ_WIDTH_B => 9,
593
      SIM_COLLISION_CHECK => "ALL",
594
      INITP_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
595
      INITP_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
596
      WRITE_MODE_A => "WRITE_FIRST",
597
      WRITE_MODE_B => "WRITE_FIRST",
598
      WRITE_WIDTH_A => 9,
599
      WRITE_WIDTH_B => 9,
600
      SRVAL_B => X"000000000"
601
    )
602
    port map (
603
      CASCADEINA => BU2_doutb(0),
604
      CASCADEINB => BU2_doutb(0),
605
      CLKA => clka,
606
      CLKB => BU2_doutb(0),
607
      ENA => BU2_U0_blk_mem_generator_valid_cstr_ena_array(0),
608
      REGCEA => BU2_doutb(0),
609
      REGCEB => BU2_doutb(0),
610
      ENB => BU2_doutb(0),
611
      SSRA => BU2_doutb(0),
612
      SSRB => BU2_doutb(0),
613
      CASCADEOUTA => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED,
614
      CASCADEOUTB => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED,
615
      ADDRA(14) => BU2_doutb(0),
616
      ADDRA(13) => addra_2(10),
617
      ADDRA(12) => addra_2(9),
618
      ADDRA(11) => addra_2(8),
619
      ADDRA(10) => addra_2(7),
620
      ADDRA(9) => addra_2(6),
621
      ADDRA(8) => addra_2(5),
622
      ADDRA(7) => addra_2(4),
623
      ADDRA(6) => addra_2(3),
624
      ADDRA(5) => addra_2(2),
625
      ADDRA(4) => addra_2(1),
626
      ADDRA(3) => addra_2(0),
627
      ADDRA(2) => BU2_doutb(0),
628
      ADDRA(1) => BU2_doutb(0),
629
      ADDRA(0) => BU2_doutb(0),
630
      ADDRB(14) => BU2_doutb(0),
631
      ADDRB(13) => BU2_doutb(0),
632
      ADDRB(12) => BU2_doutb(0),
633
      ADDRB(11) => BU2_doutb(0),
634
      ADDRB(10) => BU2_doutb(0),
635
      ADDRB(9) => BU2_doutb(0),
636
      ADDRB(8) => BU2_doutb(0),
637
      ADDRB(7) => BU2_doutb(0),
638
      ADDRB(6) => BU2_doutb(0),
639
      ADDRB(5) => BU2_doutb(0),
640
      ADDRB(4) => BU2_doutb(0),
641
      ADDRB(3) => BU2_doutb(0),
642
      ADDRB(2) => BU2_doutb(0),
643
      ADDRB(1) => BU2_doutb(0),
644
      ADDRB(0) => BU2_doutb(0),
645
      DIA(31) => BU2_doutb(0),
646
      DIA(30) => BU2_doutb(0),
647
      DIA(29) => BU2_doutb(0),
648
      DIA(28) => BU2_doutb(0),
649
      DIA(27) => BU2_doutb(0),
650
      DIA(26) => BU2_doutb(0),
651
      DIA(25) => BU2_doutb(0),
652
      DIA(24) => BU2_doutb(0),
653
      DIA(23) => BU2_doutb(0),
654
      DIA(22) => BU2_doutb(0),
655
      DIA(21) => BU2_doutb(0),
656
      DIA(20) => BU2_doutb(0),
657
      DIA(19) => BU2_doutb(0),
658
      DIA(18) => BU2_doutb(0),
659
      DIA(17) => BU2_doutb(0),
660
      DIA(16) => BU2_doutb(0),
661
      DIA(15) => BU2_doutb(0),
662
      DIA(14) => BU2_doutb(0),
663
      DIA(13) => BU2_doutb(0),
664
      DIA(12) => BU2_doutb(0),
665
      DIA(11) => BU2_doutb(0),
666
      DIA(10) => BU2_doutb(0),
667
      DIA(9) => BU2_doutb(0),
668
      DIA(8) => BU2_doutb(0),
669
      DIA(7) => BU2_doutb(0),
670
      DIA(6) => BU2_doutb(0),
671
      DIA(5) => BU2_doutb(0),
672
      DIA(4) => BU2_doutb(0),
673
      DIA(3) => BU2_doutb(0),
674
      DIA(2) => BU2_doutb(0),
675
      DIA(1) => BU2_doutb(0),
676
      DIA(0) => BU2_doutb(0),
677
      DIB(31) => BU2_doutb(0),
678
      DIB(30) => BU2_doutb(0),
679
      DIB(29) => BU2_doutb(0),
680
      DIB(28) => BU2_doutb(0),
681
      DIB(27) => BU2_doutb(0),
682
      DIB(26) => BU2_doutb(0),
683
      DIB(25) => BU2_doutb(0),
684
      DIB(24) => BU2_doutb(0),
685
      DIB(23) => BU2_doutb(0),
686
      DIB(22) => BU2_doutb(0),
687
      DIB(21) => BU2_doutb(0),
688
      DIB(20) => BU2_doutb(0),
689
      DIB(19) => BU2_doutb(0),
690
      DIB(18) => BU2_doutb(0),
691
      DIB(17) => BU2_doutb(0),
692
      DIB(16) => BU2_doutb(0),
693
      DIB(15) => BU2_doutb(0),
694
      DIB(14) => BU2_doutb(0),
695
      DIB(13) => BU2_doutb(0),
696
      DIB(12) => BU2_doutb(0),
697
      DIB(11) => BU2_doutb(0),
698
      DIB(10) => BU2_doutb(0),
699
      DIB(9) => BU2_doutb(0),
700
      DIB(8) => BU2_doutb(0),
701
      DIB(7) => BU2_doutb(0),
702
      DIB(6) => BU2_doutb(0),
703
      DIB(5) => BU2_doutb(0),
704
      DIB(4) => BU2_doutb(0),
705
      DIB(3) => BU2_doutb(0),
706
      DIB(2) => BU2_doutb(0),
707
      DIB(1) => BU2_doutb(0),
708
      DIB(0) => BU2_doutb(0),
709
      DIPA(3) => BU2_doutb(0),
710
      DIPA(2) => BU2_doutb(0),
711
      DIPA(1) => BU2_doutb(0),
712
      DIPA(0) => BU2_doutb(0),
713
      DIPB(3) => BU2_doutb(0),
714
      DIPB(2) => BU2_doutb(0),
715
      DIPB(1) => BU2_doutb(0),
716
      DIPB(0) => BU2_doutb(0),
717
      WEA(3) => BU2_doutb(0),
718
      WEA(2) => BU2_doutb(0),
719
      WEA(1) => BU2_doutb(0),
720
      WEA(0) => BU2_doutb(0),
721
      WEB(3) => BU2_doutb(0),
722
      WEB(2) => BU2_doutb(0),
723
      WEB(1) => BU2_doutb(0),
724
      WEB(0) => BU2_doutb(0),
725
      DOA(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED,
726
      DOA(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED,
727
      DOA(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED,
728
      DOA(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED,
729
      DOA(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED,
730
      DOA(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED,
731
      DOA(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED,
732
      DOA(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED,
733
      DOA(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED,
734
      DOA(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED,
735
      DOA(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED,
736
      DOA(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED,
737
      DOA(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED,
738
      DOA(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED,
739
      DOA(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED,
740
      DOA(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED,
741
      DOA(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED,
742
      DOA(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED,
743
      DOA(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED,
744
      DOA(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED,
745
      DOA(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED,
746
      DOA(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED,
747
      DOA(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED,
748
      DOA(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED,
749
      DOA(7) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(7),
750
      DOA(6) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(6),
751
      DOA(5) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(5),
752
      DOA(4) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(4),
753
      DOA(3) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(3),
754
      DOA(2) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(2),
755
      DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(1),
756
      DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(0),
757
      DOB(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED,
758
      DOB(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED,
759
      DOB(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED,
760
      DOB(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED,
761
      DOB(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED,
762
      DOB(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED,
763
      DOB(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED,
764
      DOB(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED,
765
      DOB(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED,
766
      DOB(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED,
767
      DOB(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED,
768
      DOB(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED,
769
      DOB(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED,
770
      DOB(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED,
771
      DOB(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED,
772
      DOB(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED,
773
      DOB(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED,
774
      DOB(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED,
775
      DOB(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED,
776
      DOB(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED,
777
      DOB(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED,
778
      DOB(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED,
779
      DOB(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED,
780
      DOB(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED,
781
      DOB(7) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED,
782
      DOB(6) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED,
783
      DOB(5) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED,
784
      DOB(4) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED,
785
      DOB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED,
786
      DOB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED,
787
      DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED,
788
      DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED,
789
      DOPA(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED,
790
      DOPA(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED,
791
      DOPA(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED,
792
      DOPA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(8),
793
      DOPB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED,
794
      DOPB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED,
795
      DOPB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED,
796
      DOPB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED
797
    );
798
  BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP : RAMB16
799
    generic map(
800
      DOA_REG => 0,
801
      DOB_REG => 0,
802
      INIT_A => X"000000000",
803
      INIT_B => X"000000000",
804
      INITP_00 => X"CCC666673333199999CCCCCCE6666666AAAAAAAAA5555555FFFFFFFFFFFFFFFE",
805
      INITP_01 => X"3E1F0F87C3E1E0F0783C3E1F0F8783C3E1F0F0787C3C1E1F0F078783C3E1E18C",
806
      INITP_02 => X"FF803FF007FE00FF801FF007FE00FF801FF007FC01FF803FE00FF803E1F0F87C",
807
      INITP_03 => X"3FF003FF003FF003FE007FE007FE007FC00FFC01FF801FF003FF007FE00FFC01",
808
      INITP_04 => X"FFF000007FFFFC00001FFFFF00000FFC007FE007FE007FF003FF003FF003FF00",
809
      INITP_05 => X"FFE000007FFFFE000007FFFFC00000FFFFF800001FFFFF000007FFFFC00001FF",
810
      SRVAL_A => X"000000000",
811
      INIT_00 => X"8890979EA5ABB2B8BEC3C9CED3D7DBC0C7CFD5DCE1E7EBE0E7EEF3F0F7F8FC00",
812
      INIT_01 => X"0A121921282F363D444B51585E656B71767C82878D92979CA1A6AAAFB3B8BC80",
813
      INIT_02 => X"EAF0F5FB00060B11161C21262B30353A3F44494D52565B5F64686C7175797D02",
814
      INIT_03 => X"1219212830373F464D555C636A71787F858C9399A0A6ADB3B9C0C6CCD2D8DEE4",
815
      INIT_04 => X"FE83088D11961B9F24A82DB136BA3FC347CC50D458DC61E569ED71F579FA020A",
816
      INIT_05 => X"57DC62E86DF378FE83098E14991EA429AE33B93EC348CD52D75CE166EB70F57A",
817
      INIT_06 => X"92189F25AC32B93FC64CD259DF65EB72F87E048A10961CA228AE34BA40C54BD1",
818
      INIT_07 => X"B037BF46CE55DC64EB72F981088F169D24AB32B940C74ED55CE369F077FE840B",
819
      INIT_08 => X"591DE1A5692DF1B67A3E02C68A4E12D69A5E22E6AA6E31EB73FB820A9219A128",
820
      INIT_09 => X"CC905519DEA2672CF0B5793E02C68B4F14D89D6125EAAE7237FBBF83480CD094",
821
      INIT_0A => X"31F6BB80450ACF94591EE3A76C31F6BB804509CE93581DE1A66B30F4B97E4207",
822
      INIT_0B => X"884E13D99E6329EEB4793E04C98E5419DEA3692EF3B87D4308CD92571CE1A76C",
823
      INIT_0C => X"D2985E24EAB0763B01C78D5218DEA4692FF5BA80460BD1965C22E7AD7238FDC3",
824
      INIT_0D => X"10D69C6229EFB57B4108CE945A20E6AD7339FFC58B5117DDA3692FF5BB81470D",
825
      INIT_0E => X"4006CD945A21E8AE753B02C88F561CE3A97036FDC3895016DDA36930F6BD8349",
826
      INIT_0F => X"632AF1B87F460DD49B6229F0B77E450CD39A6027EEB57C430AD0975E25EBB279",
827
      INIT_10 => X"794108D0975F26EDB57C440BD29A6128F0B77E450DD49B622AF1B87F460DD49C",
828
      INIT_11 => X"412509EDD1B5997D6145290CF0D4B89C8064472B0FF3D7BA9E82664A2D11EAB2",
829
      INIT_12 => X"C0A4896D513519FDE1C5A98D71553A1E02E6CAAE92765A3E2206EACEB2967A5D",
830
      INIT_13 => X"391D02E6CAAF93775B402408EDD1B5997D62462A0EF3D7BB9F83674C3014F8DC",
831
      INIT_14 => X"AC9075593E2207EBD0B4997D62462A0FF3D8BCA085694E3216FBDFC3A88C7055",
832
      INIT_15 => X"19FEE2C7AC90755A3E2307ECD1B59A7F63482C11F5DABFA3886C51351AFEE3C7",
833
      INIT_16 => X"80654A2F13F8DDC2A78C70553A1F04E8CDB2977B60452A0EF3D8BDA1866B4F34",
834
      INIT_17 => X"E1C6AB90755A3F250AEFD4B89D82674C3116FBE0C5AA8F74593E2308ECD1B69B",
835
      INIT_18 => X"3D2207EDD2B79C81674C3116FBE0C6AB90755A3F2409EFD4B99E83684D3217FC",
836
      INIT_19 => X"93785E43290EF3D9BEA3896E53391E03E9CEB3997E63482E13F8DEC3A88D7358",
837
      INIT_1A => X"E4C9AF947A5F452A10F5DBC1A68C71573C2107ECD2B79D82684D3318FDE3C8AE",
838
      INIT_1B => X"2F14FAE0C6AB91775C42280DF3D9BEA48A6F553B2006ECD1B79C82684D3318FE",
839
      INIT_1C => X"745A40260CF2D8BEA3896F553B2107ECD2B89E84694F351B01E6CCB2987D6349",
840
      INIT_1D => X"B59B81674D3319FFE5CBB1977D63492F15FBE1C7AD93795F452B11F7DDC3A98E",
841
      INIT_1E => X"F0D6BCA3896F553B2208EED4BAA0876D53391F05ECD2B89E846A50361C02E9CF",
842
      INIT_1F => X"260CF3D9BFA68C72593F250CF2D8BFA58B72583E250BF1D8BEA48A71573D230A",
843
      INIT_20 => X"573D240AF1D7BEA48B71583E250BF2D8BFA58C72593F260CF2D9BFA68C73593F",
844
      INIT_21 => X"826950361D04EBD1B89F856C53392006EDD4BAA1886E553B2209EFD6BCA38970",
845
      INIT_22 => X"A990775E452B12F9E0C7AE947B62493016FDE4CBB1987F664C331A01E7CEB59C",
846
      INIT_23 => X"CBB29980674E351C03EAD1B89F866D543B2208EFD6BDA48B725940270EF4DBC2",
847
      INIT_24 => X"F4E7DBCEC2B6A99D9084776B5F5246392D201407F6DDC4AC937A61482F16FDE4",
848
      INIT_25 => X"8073675B4E4236291D1104F8EBDFD3C6BAAEA195887C7063574B3E3225190C00",
849
      INIT_26 => X"09FDF1E5D8CCC0B4A79B8F82766A5D5145392C201407FBEFE2D6CABDB1A5988C",
850
      INIT_27 => X"9185786C6054483B2F23170AFEF2E6DACDC1B5A99C9084786B5F53473A2E2216",
851
      INIT_28 => X"160AFEF1E5D9CDC1B5A99C9084786C6054473B2F23170BFEF2E6DACEC2B5A99D",
852
      INIT_29 => X"988C8074685C5044382C201408FCF0E3D7CBBFB3A79B8F83776B5E52463A2E22",
853
      INIT_2A => X"190D01F5E9DDD1C5B9ADA195897D7165594D4135291D1105F9EDE1D5C9BDB1A5",
854
      INIT_2B => X"978B7F73675C5044382C201408FCF0E4D8CCC0B4A99D9185796D6155493D3125",
855
      INIT_2C => X"1307FBF0E4D8CCC0B4A89D9185796D61554A3E32261A0E02F6EADFD3C7BBAFA3",
856
      INIT_2D => X"8D81756A5E52463A2F23170B00F4E8DCD0C4B9ADA195897E72665A4E42372B1F",
857
      INIT_2E => X"05F9EDE1D6CABEB3A79B8F84786C6055493D32261A0E03F7EBDFD4C8BCB0A499",
858
      INIT_2F => X"7A6E63574B4034291D1106FAEEE3D7CBC0B4A89D91857A6E62564B3F33281C10",
859
      INIT_30 => X"EDE2D6CBBFB4A89C91857A6E62574B4034281D1106FAEEE3D7CBC0B4A99D9186",
860
      INIT_31 => X"5F53483C31251A0E03F7ECE0D4C9BDB2A69B8F84786D61554A3E33271C1005F9",
861
      INIT_32 => X"CEC2B7ACA095897E72675B5044392E22170B00F4E9DDD2C6BBAFA4988D81766A",
862
      INIT_33 => X"3B3024190E02F7EBE0D5C9BEB2A79C9085796E63574C4035291E1307FCF0E5D9",
863
      INIT_34 => X"A69B9084796E62574C40352A1E1308FCF1E6DACFC4B8ADA1968B7F74695D5246",
864
      INIT_35 => X"0F04F9EEE2D7CCC1B5AA9F93887D72665B5044392E23170C01F5EADFD3C8BDB2",
865
      INIT_36 => X"776B60554A3F33281D1207FBF0E5DACEC3B8ADA2968B8075695E53483C31261B",
866
      INIT_37 => X"DCD1C5BAAFA4998E83776C61564B4035291E1308FDF2E6DBD0C5BAAEA3988D82",
867
      INIT_38 => X"3F34291E1308FDF1E6DBD0C5BAAFA4998E83776C61564B40352A1F1308FDF2E7",
868
      INIT_39 => X"A0958A7F74695E53483D32271C1106FBF0E5DACFC4B9AEA3988C81766B60554A",
869
      INIT_3A => X"00F5EADFD4C9BEB3A89D92877C71665B50453A2F24190E03F8EDE2D7CCC1B6AB",
870
      INIT_3B => X"5D53483D32271C1106FBF0E5DBD0C5BAAFA4998E83786D62574C42372C21160B",
871
      INIT_3C => X"B9AEA3998E83786D62584D42372C21160C01F6EBE0D5CABFB5AA9F94897E7368",
872
      INIT_3D => X"1308FDF3E8DDD2C8BDB2A79C92877C71665C51463B30251B1005FAEFE4DACFC4",
873
      INIT_3E => X"6B60564B40352B20150B00F5EAE0D5CABFB5AA9F948A7F74695E54493E33291E",
874
      INIT_3F => X"C1B7ACA1978C81776C61574C41372C21160C01F6ECE1D6CCC1B6ABA1968B8176",
875
      INIT_FILE => "NONE",
876
      INVERT_CLK_DOA_REG => FALSE,
877
      INVERT_CLK_DOB_REG => FALSE,
878
      RAM_EXTENSION_A => "NONE",
879
      RAM_EXTENSION_B => "NONE",
880
      READ_WIDTH_A => 9,
881
      READ_WIDTH_B => 9,
882
      SIM_COLLISION_CHECK => "ALL",
883
      INITP_06 => X"00000FFFFFE000003FFFFF800001FFFFFC00000FFFFFE000007FFFFE000007FF",
884
      INITP_07 => X"FFFFE000001FFFFFC000003FFFFFC000007FFFFF800000FFFFFE000003FFFFF8",
885
      WRITE_MODE_A => "WRITE_FIRST",
886
      WRITE_MODE_B => "WRITE_FIRST",
887
      WRITE_WIDTH_A => 9,
888
      WRITE_WIDTH_B => 9,
889
      SRVAL_B => X"000000000"
890
    )
891
    port map (
892
      CASCADEINA => BU2_doutb(0),
893
      CASCADEINB => BU2_doutb(0),
894
      CLKA => clka,
895
      CLKB => BU2_doutb(0),
896
      ENA => BU2_U0_blk_mem_generator_valid_cstr_ena_array(0),
897
      REGCEA => BU2_doutb(0),
898
      REGCEB => BU2_doutb(0),
899
      ENB => BU2_doutb(0),
900
      SSRA => BU2_doutb(0),
901
      SSRB => BU2_doutb(0),
902
      CASCADEOUTA => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED,
903
      CASCADEOUTB => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED,
904
      ADDRA(14) => BU2_doutb(0),
905
      ADDRA(13) => addra_2(10),
906
      ADDRA(12) => addra_2(9),
907
      ADDRA(11) => addra_2(8),
908
      ADDRA(10) => addra_2(7),
909
      ADDRA(9) => addra_2(6),
910
      ADDRA(8) => addra_2(5),
911
      ADDRA(7) => addra_2(4),
912
      ADDRA(6) => addra_2(3),
913
      ADDRA(5) => addra_2(2),
914
      ADDRA(4) => addra_2(1),
915
      ADDRA(3) => addra_2(0),
916
      ADDRA(2) => BU2_doutb(0),
917
      ADDRA(1) => BU2_doutb(0),
918
      ADDRA(0) => BU2_doutb(0),
919
      ADDRB(14) => BU2_doutb(0),
920
      ADDRB(13) => BU2_doutb(0),
921
      ADDRB(12) => BU2_doutb(0),
922
      ADDRB(11) => BU2_doutb(0),
923
      ADDRB(10) => BU2_doutb(0),
924
      ADDRB(9) => BU2_doutb(0),
925
      ADDRB(8) => BU2_doutb(0),
926
      ADDRB(7) => BU2_doutb(0),
927
      ADDRB(6) => BU2_doutb(0),
928
      ADDRB(5) => BU2_doutb(0),
929
      ADDRB(4) => BU2_doutb(0),
930
      ADDRB(3) => BU2_doutb(0),
931
      ADDRB(2) => BU2_doutb(0),
932
      ADDRB(1) => BU2_doutb(0),
933
      ADDRB(0) => BU2_doutb(0),
934
      DIA(31) => BU2_doutb(0),
935
      DIA(30) => BU2_doutb(0),
936
      DIA(29) => BU2_doutb(0),
937
      DIA(28) => BU2_doutb(0),
938
      DIA(27) => BU2_doutb(0),
939
      DIA(26) => BU2_doutb(0),
940
      DIA(25) => BU2_doutb(0),
941
      DIA(24) => BU2_doutb(0),
942
      DIA(23) => BU2_doutb(0),
943
      DIA(22) => BU2_doutb(0),
944
      DIA(21) => BU2_doutb(0),
945
      DIA(20) => BU2_doutb(0),
946
      DIA(19) => BU2_doutb(0),
947
      DIA(18) => BU2_doutb(0),
948
      DIA(17) => BU2_doutb(0),
949
      DIA(16) => BU2_doutb(0),
950
      DIA(15) => BU2_doutb(0),
951
      DIA(14) => BU2_doutb(0),
952
      DIA(13) => BU2_doutb(0),
953
      DIA(12) => BU2_doutb(0),
954
      DIA(11) => BU2_doutb(0),
955
      DIA(10) => BU2_doutb(0),
956
      DIA(9) => BU2_doutb(0),
957
      DIA(8) => BU2_doutb(0),
958
      DIA(7) => BU2_doutb(0),
959
      DIA(6) => BU2_doutb(0),
960
      DIA(5) => BU2_doutb(0),
961
      DIA(4) => BU2_doutb(0),
962
      DIA(3) => BU2_doutb(0),
963
      DIA(2) => BU2_doutb(0),
964
      DIA(1) => BU2_doutb(0),
965
      DIA(0) => BU2_doutb(0),
966
      DIB(31) => BU2_doutb(0),
967
      DIB(30) => BU2_doutb(0),
968
      DIB(29) => BU2_doutb(0),
969
      DIB(28) => BU2_doutb(0),
970
      DIB(27) => BU2_doutb(0),
971
      DIB(26) => BU2_doutb(0),
972
      DIB(25) => BU2_doutb(0),
973
      DIB(24) => BU2_doutb(0),
974
      DIB(23) => BU2_doutb(0),
975
      DIB(22) => BU2_doutb(0),
976
      DIB(21) => BU2_doutb(0),
977
      DIB(20) => BU2_doutb(0),
978
      DIB(19) => BU2_doutb(0),
979
      DIB(18) => BU2_doutb(0),
980
      DIB(17) => BU2_doutb(0),
981
      DIB(16) => BU2_doutb(0),
982
      DIB(15) => BU2_doutb(0),
983
      DIB(14) => BU2_doutb(0),
984
      DIB(13) => BU2_doutb(0),
985
      DIB(12) => BU2_doutb(0),
986
      DIB(11) => BU2_doutb(0),
987
      DIB(10) => BU2_doutb(0),
988
      DIB(9) => BU2_doutb(0),
989
      DIB(8) => BU2_doutb(0),
990
      DIB(7) => BU2_doutb(0),
991
      DIB(6) => BU2_doutb(0),
992
      DIB(5) => BU2_doutb(0),
993
      DIB(4) => BU2_doutb(0),
994
      DIB(3) => BU2_doutb(0),
995
      DIB(2) => BU2_doutb(0),
996
      DIB(1) => BU2_doutb(0),
997
      DIB(0) => BU2_doutb(0),
998
      DIPA(3) => BU2_doutb(0),
999
      DIPA(2) => BU2_doutb(0),
1000
      DIPA(1) => BU2_doutb(0),
1001
      DIPA(0) => BU2_doutb(0),
1002
      DIPB(3) => BU2_doutb(0),
1003
      DIPB(2) => BU2_doutb(0),
1004
      DIPB(1) => BU2_doutb(0),
1005
      DIPB(0) => BU2_doutb(0),
1006
      WEA(3) => BU2_doutb(0),
1007
      WEA(2) => BU2_doutb(0),
1008
      WEA(1) => BU2_doutb(0),
1009
      WEA(0) => BU2_doutb(0),
1010
      WEB(3) => BU2_doutb(0),
1011
      WEB(2) => BU2_doutb(0),
1012
      WEB(1) => BU2_doutb(0),
1013
      WEB(0) => BU2_doutb(0),
1014
      DOA(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED,
1015
      DOA(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED,
1016
      DOA(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED,
1017
      DOA(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED,
1018
      DOA(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED,
1019
      DOA(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED,
1020
      DOA(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED,
1021
      DOA(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED,
1022
      DOA(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED,
1023
      DOA(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED,
1024
      DOA(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED,
1025
      DOA(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED,
1026
      DOA(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED,
1027
      DOA(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED,
1028
      DOA(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED,
1029
      DOA(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED,
1030
      DOA(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED,
1031
      DOA(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED,
1032
      DOA(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED,
1033
      DOA(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED,
1034
      DOA(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED,
1035
      DOA(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED,
1036
      DOA(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED,
1037
      DOA(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED,
1038
      DOA(7) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(7),
1039
      DOA(6) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(6),
1040
      DOA(5) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(5),
1041
      DOA(4) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(4),
1042
      DOA(3) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(3),
1043
      DOA(2) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(2),
1044
      DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(1),
1045
      DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(0),
1046
      DOB(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED,
1047
      DOB(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED,
1048
      DOB(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED,
1049
      DOB(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED,
1050
      DOB(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED,
1051
      DOB(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED,
1052
      DOB(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED,
1053
      DOB(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED,
1054
      DOB(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED,
1055
      DOB(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED,
1056
      DOB(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED,
1057
      DOB(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED,
1058
      DOB(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED,
1059
      DOB(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED,
1060
      DOB(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED,
1061
      DOB(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED,
1062
      DOB(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED,
1063
      DOB(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED,
1064
      DOB(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED,
1065
      DOB(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED,
1066
      DOB(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED,
1067
      DOB(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED,
1068
      DOB(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED,
1069
      DOB(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED,
1070
      DOB(7) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED,
1071
      DOB(6) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED,
1072
      DOB(5) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED,
1073
      DOB(4) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED,
1074
      DOB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED,
1075
      DOB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED,
1076
      DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED,
1077
      DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED,
1078
      DOPA(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED,
1079
      DOPA(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED,
1080
      DOPA(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED,
1081
      DOPA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(8),
1082
      DOPB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED,
1083
      DOPB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED,
1084
      DOPB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED,
1085
      DOPB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED
1086
    );
1087
  BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP : RAMB16
1088
    generic map(
1089
      DOA_REG => 0,
1090
      DOB_REG => 0,
1091
      INIT_A => X"000000000",
1092
      INIT_B => X"000000000",
1093
      INITP_00 => X"5263FE3255261F864AA4C7F8C9549C065580D58E2E8F15612FA8D3A90446AAA0",
1094
      INITP_01 => X"0FF83C66495AAD69339E0000F199B5AAAD24CE3C001E399256AD49331E000325",
1095
      INITP_02 => X"FE1FFC0F0E31999B24B4AD555556B5B6D9B398E3C1FC0001FC1C31CEA949331E",
1096
      INITP_03 => X"4B6DB266631C707C07FFFE01F0E18E6664DB696B556A554AD2DB66CC671C3C1F",
1097
      INITP_04 => X"319CCCCCCC9B26DB6D2DAD2B52A55E0000003F878718CCCCD925A52B555555A9",
1098
      INITP_05 => X"DA4924D93666CCC66731CE38E3C787C1F81FF00007FF0000FF81F83E1E3C71C6",
1099
      SRVAL_A => X"000000000",
1100
      INIT_00 => X"F518BDE48CB6628F3E6E2053073DF355C639AE249C158F150E09050502010000",
1101
      INIT_01 => X"E74B7159026E9B893AACE0D58D053F3BF877B7B87BFF444B139CE6F1BE4B9A53",
1102
      INIT_02 => X"830E7CCAFA0CFED3881F97F02B474422E2820467ACD1D8BF8832BD2976A4B346",
1103
      INIT_03 => X"4DA4DDF7F3D29233B71C648C9783510193065B91A9A37E3BD959BAFD22280FD8",
1104
      INIT_04 => X"D660DA46A3F131618395998E744B13CC77129E1C8AEA3A7CAED2E7ECE39546D8",
1105
      INIT_05 => X"3797E92D61879FA8A28D6A38F7A84ADD62D83F98E11C4966757566481CE1973E",
1106
      INIT_06 => X"2B5B7D91968D76501CD98828BA3DB21870BAF5213F4E4F4225FAC17923BE4AC8",
1107
      INIT_07 => X"8E88735120E19438CF57D13C9AE92A5C81979E9883602EEFA044D960D8429EEC",
1108
      INIT_08 => X"1D7BD2226BADE91D4A708FA7B9C3C6C2B7A58B6B4416E149C22D8AD8194C7086",
1109
      INIT_09 => X"003C71A0C7E801142025231A0AF4D6B186541ADA9344EF9330C655DD5ED84BB7",
1110
      INIT_0A => X"586F7F888B877C6A51320CDEAB702EE69741E48016A42CAD279A066CCA2273BD",
1111
      INIT_0B => X"8A7860421CF0BD8443FCAF5AFF9D35C54FD24FC5349CFD58ACF93F7FB8EA153A",
1112
      INIT_0C => X"F9BC792FDE8729C55AE870F16CE04DB3136DBF0B5190C8F92448657C8C969894",
1113
      INIT_0D => X"059A29B032AD218FF657B1055299D912457298B7D0E2EEF3F1E9DBC5AA875E2F",
1114
      INIT_0E => X"0E72CF2676C0044177A8D1F5112838414441372710F3CFA5743DFFBB7120C86A",
1115
      INIT_0F => X"71A1CAEC091F2F383B382E1E08EBC89F6F39FCB97020CA6E0BA232BC40BD34A4",
1116
      INIT_10 => X"8881735F4424FDD09D6323DD913EE58621B543CA4CC73CAA1374D02574BDFF3B",
1117
      INIT_11 => X"D6B6926B4114E4B17B4105C5833DF4A95A08B25AFFA03FDA72079928B43D858A",
1118
      INIT_12 => X"9BDC1A558DC2F4234F779DC0DFFC162C40505D686F7374726D655A4C3A260EF4",
1119
      INIT_13 => X"3CDE7C18B146D968F57E058909870179ED5FCD39A10769C8257ED42878C50F57",
1120
      INIT_14 => X"E5E5E3DED6CBBDAC9881674A2A07E2B98D5E2DF8C0864808C47E34E89846F098",
1121
      INIT_15 => X"BD1C77D02679C91660A7EC2D6CA7E0164878A5CFF61A3B59758DA2B5C4D1DAE1",
1122
      INIT_16 => X"EDA96116C97926D0771BBC5BF68F25B848D55FE66BEC6BE65FD548B82590F75B",
1123
      INIT_17 => X"9DB4C8D9E7F2FB00030300FAF2E6D8C7B39C82664624FFD7AC7E4D1AE3AA6E2F",
1124
      INIT_18 => X"F364D23DA60C6ECF2C86DE3385D4206AB1F53674B0E81E5181AFD90126486784",
1125
      INIT_19 => X"15DFA66A2CEBA76117CB7C2AD67F25C86806A038CE60F07D078E1394138F097F",
1126
      INIT_1A => X"284A69859FB6CADCEAF600060A0B0905FEF4E7D8C6B1997F62421FF9D1A67948",
1127
      INIT_1B => X"50C93FB22391FC64CA2D8EEB469FF44797E52F77BDFF3F7CB6EE235585B2DC03",
1128
      INIT_1C => X"B2804C15DB9F601FDA934AFDAE5D08B158FB9C3BD66F06992AB844CD53D657D5",
1129
      INIT_1D => X"7093B3D1EC041A2D3E4C576066696A68635C5246372510F9E0C3A4825E370DE1",
1130
      INIT_1E => X"AD23970877E34DB41879D9358FE63B8DDC2973BB004382BFFA32679ACAF7224A",
1131
      INIT_1F => X"8A5319DD9E5D19D38A3EF09F4CF69E43E58522BD55EB7D0E9C27AF35B93AB834",
1132
      INIT_20 => X"28435B708393A1ACB5BBBEC0BEBAB4AA9F91806D573E2306E6C39E774C20F0BE",
1133
      INIT_21 => X"A9147CE245A60560BA1165B706539DE52A6DADEB265F95C9FA29557EA5CAEC0B",
1134
      INIT_22 => X"2BE69D5306B66410B95F03A544E17B13A83BCB59E46DF377F877F36DE459CC3B",
1135
      INIT_23 => X"CFD8DEE2E3E2DFD9D1C6B9AA98836C533719F8D5AF875C2F00CE996329EDAF6E",
1136
      INIT_24 => X"5984AED7FF254A6E91B2D3F2102C48627B93AABFA7CDF012304D677E93A6B6C3",
1137
      INIT_25 => X"7ACB1C6BB905519BE42C73B9FD4082C303417EBAF52F679ED4093D6FA0D0FF2D",
1138
      INIT_26 => X"D850C63BAF21930372E04DB8238CF45BC02588EA4BAB0967C31E78D0287ED327",
1139
      INIT_27 => X"8320BC56EF871EB449DC6EFF8F1EAC38C34DD65EE56AEE71F374F472EF6BE660",
1140
      INIT_28 => X"894B0BCA894602BD762FE69C5105B8691AC97724D07B24CC741ABE6205A646E5",
1141
      INIT_29 => X"F7DDC2A6896B4B2B09E6C29D774F27FDD2A6794B1BEBB986521DE7AF773D02C6",
1142
      INIT_2A => X"DCE6F0F8FE04090D0F1010100D0A0601FAF2E9DFD4C8BBAC9D8C7A67533E2710",
1143
      INIT_2B => X"4573A0CBF620486F96BBDF02234463829FBBD6F00921374D61758798A8B6C4D1",
1144
      INIT_2C => X"3F90E1307DCA1661AAF33A80C5094C8ECF0F4D8BC7023C75ADE41A4E82B4E616",
1145
      INIT_2D => X"D84CBF31A21180EE5AC630990168CE3397FA5BBC1B79D7338EE84199EF4599ED",
1146
      INIT_2E => X"1CB248DC70029323B240CD59E36DF67D04890D9013941492108D0983FD75EC63",
1147
      INIT_2F => X"18D0883EF4A85B0EBF6F1ECC7925D07922CA7016BA5D00A141E07E1BB752EC84",
1148
      INIT_30 => X"D8B28B643B11E6BA8D5F30FFCE9C6834FFC890581EE3A86B2DEEAE6D2BE8A35E",
1149
      INIT_31 => X"69645F5851483F34281C0EFFEFDECDBAA6917A634B3218FCE0C3A485644320FD",
1150
      INIT_32 => X"D6F30E29425B72889EB2C5D7E9F90816232F3A444D555C62676B6E6F70706F6C",
1151
      INIT_33 => X"2C69A5E01A538BC2F82D6194C6F7275683B0DC07315981A8CDF216385A7B9AB9",
1152
      INIT_34 => X"76D32F8BE53E96EE4499ED4193E43484D21F6BB6014A92D91F64A8EC2E6FAFEE",
1153
      INIT_35 => X"BF3CB833AE279F168C0176E95BCC3CAC1A87F35FC9329A0268CD3195F758B818",
1154
      INIT_36 => X"13B04BE67F18B047DC7105982ABA4AD967F4800B951EA62DB338BC3FC142C241",
1155
      INIT_37 => X"7C38F3AD661ED58A3FF3A6590ABA6917C4711CC66F18BF650BAF53F59737D775",
1156
      INIT_38 => X"07E1BB936B4218EDC093653606D5A3713D08D29C642BF2B77B3F02C3844302C0",
1157
      INIT_39 => X"BCB5ADA49A9084776A5B4C3C2A1805F0DBC5AE967D63482C0FF2D3B393714E2B",
1158
      INIT_3A => X"A7BED5EAFE12243647566573808C97A1AAB2BAC0C5CACDD0D1D2D2D0CECBC7C2",
1159
      INIT_3B => X"D2073B6FA1D20332618EBBE7123C658DB4DAFF24476A8BACCBEA0825415C768F",
1160
      INIT_3C => X"489AEC3D8DDC2A77C30E58A2EA3279BE03478ACC0D4D8CCB084580BBF52D659C",
1161
      INIT_3D => X"1281F05ECB38A30D77DF47AE1378DC3FA20363C3217FDB3792EC459DF44AA0F4",
1162
      INIT_3E => X"3AC652DD67F07900860C901497199A1A991895118D0882FA72E960D549BD2FA1",
1163
      INIT_3F => X"CA731BC36A0FB458FB9E3FDF7F1DBB58F48F29C35BF2891FB447DA6DFE8E1EAC",
1164
      INIT_FILE => "NONE",
1165
      INVERT_CLK_DOA_REG => FALSE,
1166
      INVERT_CLK_DOB_REG => FALSE,
1167
      RAM_EXTENSION_A => "NONE",
1168
      RAM_EXTENSION_B => "NONE",
1169
      READ_WIDTH_A => 9,
1170
      READ_WIDTH_B => 9,
1171
      SIM_COLLISION_CHECK => "ALL",
1172
      INITP_06 => X"3C78E39C63198CCCCCD9B36C924925A5A5294A956AA95555554AAB54A94A52D2",
1173
      INITP_07 => X"B649B264CD9998CCE6318E38E3870F0783F01FC007FFFFFFFFFE007F81F83C1E",
1174
      WRITE_MODE_A => "WRITE_FIRST",
1175
      WRITE_MODE_B => "WRITE_FIRST",
1176
      WRITE_WIDTH_A => 9,
1177
      WRITE_WIDTH_B => 9,
1178
      SRVAL_B => X"000000000"
1179
    )
1180
    port map (
1181
      CASCADEINA => BU2_doutb(0),
1182
      CASCADEINB => BU2_doutb(0),
1183
      CLKA => clka,
1184
      CLKB => BU2_doutb(0),
1185
      ENA => BU2_U0_blk_mem_generator_valid_cstr_ena_array(0),
1186
      REGCEA => BU2_doutb(0),
1187
      REGCEB => BU2_doutb(0),
1188
      ENB => BU2_doutb(0),
1189
      SSRA => BU2_doutb(0),
1190
      SSRB => BU2_doutb(0),
1191
      CASCADEOUTA => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED,
1192
      CASCADEOUTB => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED,
1193
      ADDRA(14) => BU2_doutb(0),
1194
      ADDRA(13) => addra_2(10),
1195
      ADDRA(12) => addra_2(9),
1196
      ADDRA(11) => addra_2(8),
1197
      ADDRA(10) => addra_2(7),
1198
      ADDRA(9) => addra_2(6),
1199
      ADDRA(8) => addra_2(5),
1200
      ADDRA(7) => addra_2(4),
1201
      ADDRA(6) => addra_2(3),
1202
      ADDRA(5) => addra_2(2),
1203
      ADDRA(4) => addra_2(1),
1204
      ADDRA(3) => addra_2(0),
1205
      ADDRA(2) => BU2_doutb(0),
1206
      ADDRA(1) => BU2_doutb(0),
1207
      ADDRA(0) => BU2_doutb(0),
1208
      ADDRB(14) => BU2_doutb(0),
1209
      ADDRB(13) => BU2_doutb(0),
1210
      ADDRB(12) => BU2_doutb(0),
1211
      ADDRB(11) => BU2_doutb(0),
1212
      ADDRB(10) => BU2_doutb(0),
1213
      ADDRB(9) => BU2_doutb(0),
1214
      ADDRB(8) => BU2_doutb(0),
1215
      ADDRB(7) => BU2_doutb(0),
1216
      ADDRB(6) => BU2_doutb(0),
1217
      ADDRB(5) => BU2_doutb(0),
1218
      ADDRB(4) => BU2_doutb(0),
1219
      ADDRB(3) => BU2_doutb(0),
1220
      ADDRB(2) => BU2_doutb(0),
1221
      ADDRB(1) => BU2_doutb(0),
1222
      ADDRB(0) => BU2_doutb(0),
1223
      DIA(31) => BU2_doutb(0),
1224
      DIA(30) => BU2_doutb(0),
1225
      DIA(29) => BU2_doutb(0),
1226
      DIA(28) => BU2_doutb(0),
1227
      DIA(27) => BU2_doutb(0),
1228
      DIA(26) => BU2_doutb(0),
1229
      DIA(25) => BU2_doutb(0),
1230
      DIA(24) => BU2_doutb(0),
1231
      DIA(23) => BU2_doutb(0),
1232
      DIA(22) => BU2_doutb(0),
1233
      DIA(21) => BU2_doutb(0),
1234
      DIA(20) => BU2_doutb(0),
1235
      DIA(19) => BU2_doutb(0),
1236
      DIA(18) => BU2_doutb(0),
1237
      DIA(17) => BU2_doutb(0),
1238
      DIA(16) => BU2_doutb(0),
1239
      DIA(15) => BU2_doutb(0),
1240
      DIA(14) => BU2_doutb(0),
1241
      DIA(13) => BU2_doutb(0),
1242
      DIA(12) => BU2_doutb(0),
1243
      DIA(11) => BU2_doutb(0),
1244
      DIA(10) => BU2_doutb(0),
1245
      DIA(9) => BU2_doutb(0),
1246
      DIA(8) => BU2_doutb(0),
1247
      DIA(7) => BU2_doutb(0),
1248
      DIA(6) => BU2_doutb(0),
1249
      DIA(5) => BU2_doutb(0),
1250
      DIA(4) => BU2_doutb(0),
1251
      DIA(3) => BU2_doutb(0),
1252
      DIA(2) => BU2_doutb(0),
1253
      DIA(1) => BU2_doutb(0),
1254
      DIA(0) => BU2_doutb(0),
1255
      DIB(31) => BU2_doutb(0),
1256
      DIB(30) => BU2_doutb(0),
1257
      DIB(29) => BU2_doutb(0),
1258
      DIB(28) => BU2_doutb(0),
1259
      DIB(27) => BU2_doutb(0),
1260
      DIB(26) => BU2_doutb(0),
1261
      DIB(25) => BU2_doutb(0),
1262
      DIB(24) => BU2_doutb(0),
1263
      DIB(23) => BU2_doutb(0),
1264
      DIB(22) => BU2_doutb(0),
1265
      DIB(21) => BU2_doutb(0),
1266
      DIB(20) => BU2_doutb(0),
1267
      DIB(19) => BU2_doutb(0),
1268
      DIB(18) => BU2_doutb(0),
1269
      DIB(17) => BU2_doutb(0),
1270
      DIB(16) => BU2_doutb(0),
1271
      DIB(15) => BU2_doutb(0),
1272
      DIB(14) => BU2_doutb(0),
1273
      DIB(13) => BU2_doutb(0),
1274
      DIB(12) => BU2_doutb(0),
1275
      DIB(11) => BU2_doutb(0),
1276
      DIB(10) => BU2_doutb(0),
1277
      DIB(9) => BU2_doutb(0),
1278
      DIB(8) => BU2_doutb(0),
1279
      DIB(7) => BU2_doutb(0),
1280
      DIB(6) => BU2_doutb(0),
1281
      DIB(5) => BU2_doutb(0),
1282
      DIB(4) => BU2_doutb(0),
1283
      DIB(3) => BU2_doutb(0),
1284
      DIB(2) => BU2_doutb(0),
1285
      DIB(1) => BU2_doutb(0),
1286
      DIB(0) => BU2_doutb(0),
1287
      DIPA(3) => BU2_doutb(0),
1288
      DIPA(2) => BU2_doutb(0),
1289
      DIPA(1) => BU2_doutb(0),
1290
      DIPA(0) => BU2_doutb(0),
1291
      DIPB(3) => BU2_doutb(0),
1292
      DIPB(2) => BU2_doutb(0),
1293
      DIPB(1) => BU2_doutb(0),
1294
      DIPB(0) => BU2_doutb(0),
1295
      WEA(3) => BU2_doutb(0),
1296
      WEA(2) => BU2_doutb(0),
1297
      WEA(1) => BU2_doutb(0),
1298
      WEA(0) => BU2_doutb(0),
1299
      WEB(3) => BU2_doutb(0),
1300
      WEB(2) => BU2_doutb(0),
1301
      WEB(1) => BU2_doutb(0),
1302
      WEB(0) => BU2_doutb(0),
1303
      DOA(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED,
1304
      DOA(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED,
1305
      DOA(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED,
1306
      DOA(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED,
1307
      DOA(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED,
1308
      DOA(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED,
1309
      DOA(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED,
1310
      DOA(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED,
1311
      DOA(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED,
1312
      DOA(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED,
1313
      DOA(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED,
1314
      DOA(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED,
1315
      DOA(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED,
1316
      DOA(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED,
1317
      DOA(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED,
1318
      DOA(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED,
1319
      DOA(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED,
1320
      DOA(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED,
1321
      DOA(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED,
1322
      DOA(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED,
1323
      DOA(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED,
1324
      DOA(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED,
1325
      DOA(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED,
1326
      DOA(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED,
1327
      DOA(7) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(7),
1328
      DOA(6) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(6),
1329
      DOA(5) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(5),
1330
      DOA(4) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(4),
1331
      DOA(3) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(3),
1332
      DOA(2) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(2),
1333
      DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(1),
1334
      DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(0),
1335
      DOB(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED,
1336
      DOB(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED,
1337
      DOB(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED,
1338
      DOB(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED,
1339
      DOB(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED,
1340
      DOB(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED,
1341
      DOB(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED,
1342
      DOB(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED,
1343
      DOB(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED,
1344
      DOB(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED,
1345
      DOB(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED,
1346
      DOB(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED,
1347
      DOB(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED,
1348
      DOB(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED,
1349
      DOB(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED,
1350
      DOB(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED,
1351
      DOB(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED,
1352
      DOB(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED,
1353
      DOB(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED,
1354
      DOB(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED,
1355
      DOB(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED,
1356
      DOB(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED,
1357
      DOB(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED,
1358
      DOB(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED,
1359
      DOB(7) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED,
1360
      DOB(6) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED,
1361
      DOB(5) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED,
1362
      DOB(4) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED,
1363
      DOB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED,
1364
      DOB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED,
1365
      DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED,
1366
      DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED,
1367
      DOPA(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED,
1368
      DOPA(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED,
1369
      DOPA(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED,
1370
      DOPA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(8),
1371
      DOPB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED,
1372
      DOPB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED,
1373
      DOPB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED,
1374
      DOPB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED
1375
    );
1376
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_0_1 : LUT3
1377
    generic map(
1378
      INIT => X"E4"
1379
    )
1380
    port map (
1381
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1382
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(0),
1383
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(0),
1384
      O => douta_3(0)
1385
    );
1386
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_10_1 : LUT3
1387
    generic map(
1388
      INIT => X"E4"
1389
    )
1390
    port map (
1391
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1392
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(1),
1393
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(1),
1394
      O => douta_3(10)
1395
    );
1396
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_11_1 : LUT3
1397
    generic map(
1398
      INIT => X"E4"
1399
    )
1400
    port map (
1401
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1402
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(2),
1403
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(2),
1404
      O => douta_3(11)
1405
    );
1406
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_12_1 : LUT3
1407
    generic map(
1408
      INIT => X"E4"
1409
    )
1410
    port map (
1411
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1412
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(3),
1413
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(3),
1414
      O => douta_3(12)
1415
    );
1416
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_13_1 : LUT3
1417
    generic map(
1418
      INIT => X"E4"
1419
    )
1420
    port map (
1421
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1422
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(4),
1423
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(4),
1424
      O => douta_3(13)
1425
    );
1426
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_14_1 : LUT3
1427
    generic map(
1428
      INIT => X"E4"
1429
    )
1430
    port map (
1431
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1432
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(5),
1433
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(5),
1434
      O => douta_3(14)
1435
    );
1436
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_15_1 : LUT3
1437
    generic map(
1438
      INIT => X"E4"
1439
    )
1440
    port map (
1441
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1442
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(6),
1443
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(6),
1444
      O => douta_3(15)
1445
    );
1446
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_16_1 : LUT3
1447
    generic map(
1448
      INIT => X"E4"
1449
    )
1450
    port map (
1451
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1452
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(7),
1453
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(7),
1454
      O => douta_3(16)
1455
    );
1456
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_17_1 : LUT3
1457
    generic map(
1458
      INIT => X"E4"
1459
    )
1460
    port map (
1461
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1462
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(8),
1463
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(8),
1464
      O => douta_3(17)
1465
    );
1466
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_18_1 : LUT3
1467
    generic map(
1468
      INIT => X"E4"
1469
    )
1470
    port map (
1471
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1472
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(0),
1473
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(0),
1474
      O => douta_3(18)
1475
    );
1476
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_19_1 : LUT3
1477
    generic map(
1478
      INIT => X"E4"
1479
    )
1480
    port map (
1481
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1482
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(1),
1483
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(1),
1484
      O => douta_3(19)
1485
    );
1486
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_1_1 : LUT3
1487
    generic map(
1488
      INIT => X"E4"
1489
    )
1490
    port map (
1491
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1492
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(1),
1493
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(1),
1494
      O => douta_3(1)
1495
    );
1496
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_20_1 : LUT3
1497
    generic map(
1498
      INIT => X"E4"
1499
    )
1500
    port map (
1501
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1502
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(2),
1503
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(2),
1504
      O => douta_3(20)
1505
    );
1506
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_21_1 : LUT3
1507
    generic map(
1508
      INIT => X"E4"
1509
    )
1510
    port map (
1511
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1512
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(3),
1513
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(3),
1514
      O => douta_3(21)
1515
    );
1516
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_22_1 : LUT3
1517
    generic map(
1518
      INIT => X"E4"
1519
    )
1520
    port map (
1521
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1522
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(4),
1523
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(4),
1524
      O => douta_3(22)
1525
    );
1526
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_23_1 : LUT3
1527
    generic map(
1528
      INIT => X"E4"
1529
    )
1530
    port map (
1531
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1532
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(5),
1533
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(5),
1534
      O => douta_3(23)
1535
    );
1536
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_24_1 : LUT3
1537
    generic map(
1538
      INIT => X"E4"
1539
    )
1540
    port map (
1541
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1542
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(6),
1543
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(6),
1544
      O => douta_3(24)
1545
    );
1546
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_25_1 : LUT3
1547
    generic map(
1548
      INIT => X"E4"
1549
    )
1550
    port map (
1551
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1552
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(7),
1553
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(7),
1554
      O => douta_3(25)
1555
    );
1556
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_26_1 : LUT3
1557
    generic map(
1558
      INIT => X"E4"
1559
    )
1560
    port map (
1561
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1562
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3(8),
1563
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(8),
1564
      O => douta_3(26)
1565
    );
1566
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_2_1 : LUT3
1567
    generic map(
1568
      INIT => X"E4"
1569
    )
1570
    port map (
1571
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1572
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(2),
1573
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(2),
1574
      O => douta_3(2)
1575
    );
1576
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_3_1 : LUT3
1577
    generic map(
1578
      INIT => X"E4"
1579
    )
1580
    port map (
1581
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1582
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(3),
1583
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(3),
1584
      O => douta_3(3)
1585
    );
1586
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_4_1 : LUT3
1587
    generic map(
1588
      INIT => X"E4"
1589
    )
1590
    port map (
1591
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1592
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(4),
1593
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(4),
1594
      O => douta_3(4)
1595
    );
1596
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_5_1 : LUT3
1597
    generic map(
1598
      INIT => X"E4"
1599
    )
1600
    port map (
1601
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1602
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(5),
1603
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(5),
1604
      O => douta_3(5)
1605
    );
1606
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_6_1 : LUT3
1607
    generic map(
1608
      INIT => X"E4"
1609
    )
1610
    port map (
1611
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1612
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(6),
1613
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(6),
1614
      O => douta_3(6)
1615
    );
1616
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_7_1 : LUT3
1617
    generic map(
1618
      INIT => X"E4"
1619
    )
1620
    port map (
1621
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1622
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(7),
1623
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(7),
1624
      O => douta_3(7)
1625
    );
1626
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_8_1 : LUT3
1627
    generic map(
1628
      INIT => X"E4"
1629
    )
1630
    port map (
1631
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1632
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta(8),
1633
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(8),
1634
      O => douta_3(8)
1635
    );
1636
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_dout_mux_9_1 : LUT3
1637
    generic map(
1638
      INIT => X"E4"
1639
    )
1640
    port map (
1641
      I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0),
1642
      I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1(0),
1643
      I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(0),
1644
      O => douta_3(9)
1645
    );
1646
  BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_0 : FDE
1647
    generic map(
1648
      INIT => '0'
1649
    )
1650
    port map (
1651
      C => clka,
1652
      CE => BU2_N1,
1653
      D => addra_2(11),
1654
      Q => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0)
1655
    );
1656
  BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP : RAMB16
1657
    generic map(
1658
      DOA_REG => 0,
1659
      DOB_REG => 0,
1660
      INIT_A => X"000000000",
1661
      INIT_B => X"000000000",
1662
      INITP_00 => X"999993364D936DB6D25B4A5AD6B56AD56AAAD555554AAA954A95A94A5A5A496D",
1663
      INITP_01 => X"78F1E1F0F81F81FE00FFFE0000000FFFE007F01F83E1F0F1E3C71C639CE73399",
1664
      INITP_02 => X"33333333999CCC67319CE738C638C71C71C71C393266CCCCCCC66339CE31C71C",
1665
      INITP_03 => X"A952B5295AD6B4A5AD2D2D2D25A4B6D24925B64924DB64DB26CD93266CC99993",
1666
      INITP_04 => X"D4AD5AB56A954AA554AAA5555AAAAAAAB55555AAAAAAAB5554AAA554AA55AA54",
1667
      INITP_05 => X"CCC99B3664D9B26C9B64DB64926DB6DB4924B6D25A4B4B696B4B5A52D6B5A94A",
1668
      SRVAL_A => X"000000000",
1669
      INIT_00 => X"CB915519DC9E6020E09E5C19D5904A03BC732ADF9448FBAE5F0FBF6E1BC8741F",
1670
      INIT_01 => X"47290AEAC9A784613C17F1CAA2794F25F9CDA0724313E2B17E4B17E2AC753D05",
1671
      INIT_02 => X"4845423D38322B231B1107FCF0E3D5C6B7A69583705C47311B03EBD2B89D8165",
1672
      INIT_03 => X"D6EE061E34495E728496A8B8C7D6E4F0FC08121B242C33393E4245484A4A4A4A",
1673
      INIT_04 => X"FA2E6193C5F5255482AFDC07325C85ADD4FB2045698CAED0F0102F4D6A86A1BC",
1674
      INIT_05 => X"BD0C5AA7F33F8AD31C65ACF3387DC1044788C9094886C3FF3B76B0E921588FC5",
1675
      INIT_06 => X"2891FA62C92F94F95DC02283E343A2005DB9146FC9227AD1277DD22679CB1C6D",
1676
      INIT_07 => X"43C74ACC4ECE4ECD4BC845C13BB62FA71F950B80F568DB4DBE2E9D0C79E652BD",
1677
      INIT_08 => X"17B552EF8A25BF58F0881EB449DE71049526B746D462EF7B06911AA32BB239BE",
1678
      INIT_09 => X"AD641BD2873CEFA25506B76615C4711DC9741EC87018BF650AAF52F59738D978",
1679
      INIT_0A => X"0BDDAD7D4C1AE8B5804B16DFA87037FDC2874B0ED0925212D18F4D09C5803BF4",
1680
      INIT_0B => X"3C2610FAE2C9B0967C60442609EACAAA89674421FDD7B28B633B12E8BE926639",
1681
      INIT_0C => X"45494C4F505151504E4C4945403A342D251C1308FDF2E5D8C9BAAB9A89776450",
1682
      INIT_0D => X"304D69849FB8D1E900172C4155697B8D9EAFBECDDBE8F4000B151E272E353B41",
1683
      INIT_0E => X"053A6EA2D507396999C8F724517DA9D3FD264E769CC2E70C2F527496B6D6F513",
1684
      INIT_0F => X"C91764B0FB4690D92169B0F63B7FC306488ACB0B4A88C6033F7AB5EF286098CF",
1685
      INIT_10 => X"86EC51B5197CDE3F9FFF5EBC1A77D32E88E23B93EB4197ED4195E83A8BDC2C7B",
1686
      INIT_11 => X"43C13DBA35B02AA31B930A80F66ADE52C436A71787F563D13DA9147EE851B920",
1687
      INIT_12 => X"069C30C457EA7B0C9C2CBB49D662EE79038D169E25AC31B63BBE41C345C545C4",
1688
      INIT_13 => X"EC4298EE4398ED4195E83B8EE13384D62677C71766B60453A1EE3B88D521D970",
1689
      INIT_14 => X"5FC12384E546A60665C42382E03D9BF754B00C68C31E78D22C85DE368FE73E95",
1690
      INIT_15 => X"61CE3BA81581EC58C32E98026BD53DA60E76DD44AB1177DD42A70C70D4379AFD",
1691
      INIT_16 => X"F46DE55DD54DC43BB1279D1287FC70E458CB3EB023940677E858C838A71685F3",
1692
      INIT_17 => X"1CA024A72AAD30B234B536B737B737B635B332B02DAA27A4209B17920D87017A",
1693
      INIT_18 => X"DC6BFA8917A533C04DDA66F27E09941EA932BC45CE56DE66ED74FB81078D1297",
1694
      INIT_19 => X"38D26C06A039D26A029A31C85FF58B21B64BE074089C2FC254E6780A9B2CBC4C",
1695
      INIT_1A => X"32D87D22C66A0EB255F79A3CDE7F20C16101A140DF7E1CBA57F5922ECA66029D",
1696
      INIT_1B => X"CF8030DF8F3EEC9B49F6A451FDAA5601AC5702AC5600A952FAA34AF29940E78D",
1697
      INIT_1C => X"11CD8742FCB66F28E19A520AC1782FE59B5107BC7125D98D40F3A6580ABC6E1F",
1698
      INIT_1D => X"FCC2874C11D69A5E21E4A76A2CEEAF7031F2B27231F1AF6E2CEAA76521DE9A56",
1699
      INIT_1E => X"92623202D2A16F3E0CDAA774410EDAA6713C07D29C662FF8C18A521AE1A86F36",
1700
      INIT_1F => X"D6B18C66401AF3CCA57D552D04DBB2885E3409DEB3875B2F02D5A87B4D1EF0C1",
1701
      INIT_20 => X"CCB2977B6044270BEED1B39577583A1AFBDBBB9A7A583715F3D1AE8B67431FFB",
1702
      INIT_21 => X"77665645332210FEEBD8C5B19D8975604B351F09F3DCC5AE967E654D341A01E7",
1703
      INIT_22 => X"D9D3CCC5BEB7AFA79F968D847A70665B51453A2E221508FBEEE0D2C4B5A69687",
1704
      INIT_23 => X"F5F9FD000306080A0C0E0F10101110100F0E0D0B09070401FEFBF7F2EEE9E4DE",
1705
      INIT_24 => X"CEDCEAF805121E2A36424D58626D77808A939CA4ACB4BBC3C9D0D6DCE2E7ECF1",
1706
      INIT_25 => X"688097AFC6DDF3091F354A5F74889CB0C3D6E9FB0D1F31425363738393A2B1C0",
1707
      INIT_26 => X"C4E607294A6A8BABCAEA0928466582A0BDDAF7132F4B66829CB7D1EB051E374F",
1708
      INIT_27 => X"E6113D6892BDE7113B648DB5DE062D557CA3C9EF153B6085AACEF216395C7FA2",
1709
      INIT_28 => X"CF053A6FA3D70B3F72A5D80A3C6E9FD001326292C2F1204F7DABD90734618DBA",
1710
      INIT_29 => X"84C302407EBCFA3774B0ED2965A0DB16508BC4FE3770A9E11A5189C0F72E649A",
1711
      INIT_2A => X"074F97DF276EB5FC4389CF14599EE3276CAFF33679BBFE4081C3044585C50545",
1712
      INIT_2B => X"59ABFD4E9FF04091E13080CF1D6CBA0856A3F03C89D5216CB7024D97E12B75BE",
1713
      INIT_2C => X"7ED9348FEA449EF751AA035BB30B63BA1168BE146AC0156ABE1367BA0E61B407",
1714
      INIT_2D => X"78DD41A5096DD03396F85ABC1D7EDF40A00060C01F7EDC3A98F654B10E6AC622",
1715
      INIT_2E => X"4AB82693006DD946B11D88F35EC9339D066FD841AA127AE148AF167DE349AE13",
1716
      INIT_2F => X"F76EE45BD147BD32A71C900478EC5FD245B82A9C0D7FF060D141B12190FF6EDC",
1717
      INIT_30 => X"7F007FFF7EFD7CFA78F674F16EEB67E35FDB56D14CC641BA34AD269F1890087F",
1718
      INIT_31 => X"E771F9820A921AA128AF36BC42C84DD357DC60E468EC6FF274F779FB7CFD7EFF",
1719
      INIT_32 => X"31C355E677089929B949D968F78514A230BD4BD864F17D099520AB36C04AD45E",
1720
      INIT_33 => X"5EF9942EC862FB942DC65EF68E26BD54EB8117AD43D86D02972BBF53E6790C9F",
1721
      INIT_34 => X"7115B85CFEA143E58728CA6A0BAB4BEB8B2AC96806A442E07D1AB754F08C28C3",
1722
      INIT_35 => X"6D1AC6711DC8731EC8721CC66F18C16A12BA6209B057FEA44AF0963BE08529CD",
1723
      INIT_36 => X"5409BD7226DA8D41F4A7590CBE6F21D28334E49444F4A35201AF5D0BB96714C1",
1724
      INIT_37 => X"27E5A25F1CD894500CC7823DF8B26C26E099520BC37B33EBA25910C77D33E99E",
1725
      INIT_38 => X"EAB0753B00C58A4E12D69A5D20E3A6682AECAE6F30F1B17131F1B06F2EEDAB69",
1726
      INIT_39 => X"9D6C3A08D6A3703D0AD6A26E3A05D09B652FF9C38D561FE7B0784007CF965D23",
1727
      INIT_3A => X"451CF2C89E744A1FF4C99D714519ECC09365380ADCAD7E5020F1C191613000CF",
1728
      INIT_3B => X"E2C1A07E5D3B19F6D3B08D6A4622FED9B48F6A451FF9D2AC855E360FE7BF966E",
1729
      INIT_3C => X"765E452C12F9DFC4AA8F74593E2206EACDB09376583B1DFEE0C1A28263432302",
1730
      INIT_3D => X"05F5E4D3C1B09E8C7A6755412E1B07F3DECAB5A08B755F49331C05EED7BFA78F",
1731
      INIT_3E => X"8F877E756C63594F453B30251A0E03F7EBDED1C5B7AA9C8E8071635445352515",
1732
      INIT_3F => X"18171716151312100E0B090603FFFCF8F4EFEBE6E1DBD6D0CAC3BDB6AFA7A098",
1733
      INIT_FILE => "NONE",
1734
      INVERT_CLK_DOA_REG => FALSE,
1735
      INVERT_CLK_DOB_REG => FALSE,
1736
      RAM_EXTENSION_A => "NONE",
1737
      RAM_EXTENSION_B => "NONE",
1738
      READ_WIDTH_A => 9,
1739
      READ_WIDTH_B => 9,
1740
      SIM_COLLISION_CHECK => "ALL",
1741
      INITP_06 => X"878F0E1C38F1C78E38E38C71CE39C6318C6318CE633198CCC666666626666666",
1742
      INITP_07 => X"0007FFFFFFFE00007FFE001FF801FE00FE03F80FC0FC0F81F07C3E0F07878787",
1743
      WRITE_MODE_A => "WRITE_FIRST",
1744
      WRITE_MODE_B => "WRITE_FIRST",
1745
      WRITE_WIDTH_A => 9,
1746
      WRITE_WIDTH_B => 9,
1747
      SRVAL_B => X"000000000"
1748
    )
1749
    port map (
1750
      CASCADEINA => BU2_doutb(0),
1751
      CASCADEINB => BU2_doutb(0),
1752
      CLKA => clka,
1753
      CLKB => BU2_doutb(0),
1754
      ENA => addra_2(11),
1755
      REGCEA => BU2_doutb(0),
1756
      REGCEB => BU2_doutb(0),
1757
      ENB => BU2_doutb(0),
1758
      SSRA => BU2_doutb(0),
1759
      SSRB => BU2_doutb(0),
1760
      CASCADEOUTA => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED,
1761
      CASCADEOUTB => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED,
1762
      ADDRA(14) => BU2_doutb(0),
1763
      ADDRA(13) => addra_2(10),
1764
      ADDRA(12) => addra_2(9),
1765
      ADDRA(11) => addra_2(8),
1766
      ADDRA(10) => addra_2(7),
1767
      ADDRA(9) => addra_2(6),
1768
      ADDRA(8) => addra_2(5),
1769
      ADDRA(7) => addra_2(4),
1770
      ADDRA(6) => addra_2(3),
1771
      ADDRA(5) => addra_2(2),
1772
      ADDRA(4) => addra_2(1),
1773
      ADDRA(3) => addra_2(0),
1774
      ADDRA(2) => BU2_doutb(0),
1775
      ADDRA(1) => BU2_doutb(0),
1776
      ADDRA(0) => BU2_doutb(0),
1777
      ADDRB(14) => BU2_doutb(0),
1778
      ADDRB(13) => BU2_doutb(0),
1779
      ADDRB(12) => BU2_doutb(0),
1780
      ADDRB(11) => BU2_doutb(0),
1781
      ADDRB(10) => BU2_doutb(0),
1782
      ADDRB(9) => BU2_doutb(0),
1783
      ADDRB(8) => BU2_doutb(0),
1784
      ADDRB(7) => BU2_doutb(0),
1785
      ADDRB(6) => BU2_doutb(0),
1786
      ADDRB(5) => BU2_doutb(0),
1787
      ADDRB(4) => BU2_doutb(0),
1788
      ADDRB(3) => BU2_doutb(0),
1789
      ADDRB(2) => BU2_doutb(0),
1790
      ADDRB(1) => BU2_doutb(0),
1791
      ADDRB(0) => BU2_doutb(0),
1792
      DIA(31) => BU2_doutb(0),
1793
      DIA(30) => BU2_doutb(0),
1794
      DIA(29) => BU2_doutb(0),
1795
      DIA(28) => BU2_doutb(0),
1796
      DIA(27) => BU2_doutb(0),
1797
      DIA(26) => BU2_doutb(0),
1798
      DIA(25) => BU2_doutb(0),
1799
      DIA(24) => BU2_doutb(0),
1800
      DIA(23) => BU2_doutb(0),
1801
      DIA(22) => BU2_doutb(0),
1802
      DIA(21) => BU2_doutb(0),
1803
      DIA(20) => BU2_doutb(0),
1804
      DIA(19) => BU2_doutb(0),
1805
      DIA(18) => BU2_doutb(0),
1806
      DIA(17) => BU2_doutb(0),
1807
      DIA(16) => BU2_doutb(0),
1808
      DIA(15) => BU2_doutb(0),
1809
      DIA(14) => BU2_doutb(0),
1810
      DIA(13) => BU2_doutb(0),
1811
      DIA(12) => BU2_doutb(0),
1812
      DIA(11) => BU2_doutb(0),
1813
      DIA(10) => BU2_doutb(0),
1814
      DIA(9) => BU2_doutb(0),
1815
      DIA(8) => BU2_doutb(0),
1816
      DIA(7) => BU2_doutb(0),
1817
      DIA(6) => BU2_doutb(0),
1818
      DIA(5) => BU2_doutb(0),
1819
      DIA(4) => BU2_doutb(0),
1820
      DIA(3) => BU2_doutb(0),
1821
      DIA(2) => BU2_doutb(0),
1822
      DIA(1) => BU2_doutb(0),
1823
      DIA(0) => BU2_doutb(0),
1824
      DIB(31) => BU2_doutb(0),
1825
      DIB(30) => BU2_doutb(0),
1826
      DIB(29) => BU2_doutb(0),
1827
      DIB(28) => BU2_doutb(0),
1828
      DIB(27) => BU2_doutb(0),
1829
      DIB(26) => BU2_doutb(0),
1830
      DIB(25) => BU2_doutb(0),
1831
      DIB(24) => BU2_doutb(0),
1832
      DIB(23) => BU2_doutb(0),
1833
      DIB(22) => BU2_doutb(0),
1834
      DIB(21) => BU2_doutb(0),
1835
      DIB(20) => BU2_doutb(0),
1836
      DIB(19) => BU2_doutb(0),
1837
      DIB(18) => BU2_doutb(0),
1838
      DIB(17) => BU2_doutb(0),
1839
      DIB(16) => BU2_doutb(0),
1840
      DIB(15) => BU2_doutb(0),
1841
      DIB(14) => BU2_doutb(0),
1842
      DIB(13) => BU2_doutb(0),
1843
      DIB(12) => BU2_doutb(0),
1844
      DIB(11) => BU2_doutb(0),
1845
      DIB(10) => BU2_doutb(0),
1846
      DIB(9) => BU2_doutb(0),
1847
      DIB(8) => BU2_doutb(0),
1848
      DIB(7) => BU2_doutb(0),
1849
      DIB(6) => BU2_doutb(0),
1850
      DIB(5) => BU2_doutb(0),
1851
      DIB(4) => BU2_doutb(0),
1852
      DIB(3) => BU2_doutb(0),
1853
      DIB(2) => BU2_doutb(0),
1854
      DIB(1) => BU2_doutb(0),
1855
      DIB(0) => BU2_doutb(0),
1856
      DIPA(3) => BU2_doutb(0),
1857
      DIPA(2) => BU2_doutb(0),
1858
      DIPA(1) => BU2_doutb(0),
1859
      DIPA(0) => BU2_doutb(0),
1860
      DIPB(3) => BU2_doutb(0),
1861
      DIPB(2) => BU2_doutb(0),
1862
      DIPB(1) => BU2_doutb(0),
1863
      DIPB(0) => BU2_doutb(0),
1864
      WEA(3) => BU2_doutb(0),
1865
      WEA(2) => BU2_doutb(0),
1866
      WEA(1) => BU2_doutb(0),
1867
      WEA(0) => BU2_doutb(0),
1868
      WEB(3) => BU2_doutb(0),
1869
      WEB(2) => BU2_doutb(0),
1870
      WEB(1) => BU2_doutb(0),
1871
      WEB(0) => BU2_doutb(0),
1872
      DOA(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED,
1873
      DOA(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED,
1874
      DOA(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED,
1875
      DOA(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED,
1876
      DOA(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED,
1877
      DOA(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED,
1878
      DOA(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED,
1879
      DOA(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED,
1880
      DOA(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED,
1881
      DOA(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED,
1882
      DOA(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED,
1883
      DOA(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED,
1884
      DOA(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED,
1885
      DOA(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED,
1886
      DOA(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED,
1887
      DOA(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED,
1888
      DOA(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED,
1889
      DOA(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED,
1890
      DOA(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED,
1891
      DOA(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED,
1892
      DOA(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED,
1893
      DOA(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED,
1894
      DOA(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED,
1895
      DOA(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED,
1896
      DOA(7) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(7),
1897
      DOA(6) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(6),
1898
      DOA(5) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(5),
1899
      DOA(4) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(4),
1900
      DOA(3) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(3),
1901
      DOA(2) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(2),
1902
      DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(1),
1903
      DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(0),
1904
      DOB(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED,
1905
      DOB(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED,
1906
      DOB(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED,
1907
      DOB(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED,
1908
      DOB(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED,
1909
      DOB(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED,
1910
      DOB(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED,
1911
      DOB(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED,
1912
      DOB(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED,
1913
      DOB(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED,
1914
      DOB(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED,
1915
      DOB(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED,
1916
      DOB(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED,
1917
      DOB(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED,
1918
      DOB(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED,
1919
      DOB(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED,
1920
      DOB(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED,
1921
      DOB(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED,
1922
      DOB(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED,
1923
      DOB(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED,
1924
      DOB(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED,
1925
      DOB(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED,
1926
      DOB(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED,
1927
      DOB(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED,
1928
      DOB(7) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED,
1929
      DOB(6) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED,
1930
      DOB(5) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED,
1931
      DOB(4) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED,
1932
      DOB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED,
1933
      DOB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED,
1934
      DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED,
1935
      DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED,
1936
      DOPA(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED,
1937
      DOPA(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED,
1938
      DOPA(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED,
1939
      DOPA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0(8),
1940
      DOPB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED,
1941
      DOPB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED,
1942
      DOPB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED,
1943
      DOPB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED
1944
    );
1945
  BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP : RAMB16
1946
    generic map(
1947
      DOA_REG => 0,
1948
      DOB_REG => 0,
1949
      INIT_A => X"000000000",
1950
      INIT_B => X"000000000",
1951
      INITP_00 => X"03FFFFFE000001FFFFFF0000007FFFFF8000003FFFFFC000003FFFFFE000001F",
1952
      INITP_01 => X"01FFFFFF8000001FFFFFF0000003FFFFFF0000007FFFFFC000000FFFFFF80000",
1953
      INITP_02 => X"0000000000000FFFFFFFFFFFFF80000000000003FFFFFF8000001FFFFFF80000",
1954
      INITP_03 => X"FFFFFFFFE00000000000003FFFFFFFFFFFFF80000000000000FFFFFFFFFFFFFC",
1955
      INITP_04 => X"01FFFFFFFFFFFFFF000000000000003FFFFFFFFFFFFFC00000000000001FFFFF",
1956
      INITP_05 => X"0000001FFFFFFFFFFFFFFE000000000000003FFFFFFFFFFFFFF0000000000000",
1957
      SRVAL_A => X"000000000",
1958
      INIT_00 => X"160B01F6EBE1D6CCC1B6ACA1968C81776C61574C41372C21170C01F7ECE1D7CC",
1959
      INIT_01 => X"695E54493E34291F140AFFF4EADFD5CABFB5AAA0958B80756B60564B40362B21",
1960
      INIT_02 => X"BAAFA59A90857B70665B51463B31261C1107FCF2E7DDD2C8BDB3A89D93887E73",
1961
      INIT_03 => X"09FEF4EADFD5CAC0B5ABA0968B81766C61574D42382D23180E03F9EEE4D9CFC4",
1962
      INIT_04 => X"564C42372D22180E03F9EEE4DACFC5BAB0A59B91867C71675C52483D33281E13",
1963
      INIT_05 => X"A2988E83796F645A50453B30261C1107FDF2E8DED3C9BEB4AA9F958A80766B61",
1964
      INIT_06 => X"EDE2D8CEC3B9AFA49A90867B71675C52483D33291E140AFFF5EBE0D6CCC1B7AD",
1965
      INIT_07 => X"352B21160C02F8EDE3D9CFC4BAB0A69B91877D72685E53493F352A20160B01F7",
1966
      INIT_08 => X"7C72685D53493F352A20160C02F7EDE3D9CFC4BAB0A69B91877D73685E544A3F",
1967
      INIT_09 => X"C1B7ADA3998F847A70665C52483D33291F150B00F6ECE2D8CEC3B9AFA59B9086",
1968
      INIT_0A => X"05FBF1E7DDD3C8BEB4AAA0968C82786D63594F453B31271D1208FEF4EAE0D6CB",
1969
      INIT_0B => X"473D33291F150B01F7EDE3D9CFC4BAB0A69C92887E746A60564C42372D23190F",
1970
      INIT_0C => X"887E746A60564C42382E241A1006FCF2E8DED4CABFB5ABA1978D83796F655B51",
1971
      INIT_0D => X"C7BDB3A99F958B81776D63594F453B31271D1309FFF5EBE2D8CEC4BAB0A69C92",
1972
      INIT_0E => X"04FAF0E6DCD3C9BFB5ABA1978D83796F655C52483E342A20160C02F8EEE4DAD1",
1973
      INIT_0F => X"40362C22180F05FBF1E7DDD3CAC0B6ACA2988E857B71675D53493F352C22180E",
1974
      INIT_10 => X"7A70675D53493F362C22180E05FBF1E7DDD3CAC0B6ACA2988F857B71675D544A",
1975
      INIT_11 => X"B3A9A0968C82796F655B52483E342A21170D03FAF0E6DCD2C9BFB5ABA1988E84",
1976
      INIT_12 => X"EBE1D7CDC4BAB0A79D938980766C62594F453C32281E150B01F7EEE4DAD0C7BD",
1977
      INIT_13 => X"908B86817D78736E6964605B56514C47433E39342F2A26211C17120D0804FEF4",
1978
      INIT_14 => X"2A25211C17120D0904FFFAF5F0ECE7E2DDD8D4CFCAC5C0BBB7B2ADA8A39E9A95",
1979
      INIT_15 => X"C4BFBAB5B1ACA7A29D99948F8A85817C77726D69645F5A55514C47423D39342F",
1980
      INIT_16 => X"5C58534E4945403B36322D28231E1A15100B0702FDF8F4EFEAE5E0DCD7D2CDC8",
1981
      INIT_17 => X"F5F0EBE6E2DDD8D3CFCAC5C0BCB7B2ADA9A49F9A96918C87837E7974706B6661",
1982
      INIT_18 => X"8C87827E7974706B66615D58534F4A45403C37322D29241F1A16110C0803FEF9",
1983
      INIT_19 => X"231E1915100B0602FDF8F4EFEAE5E1DCD7D3CEC9C5C0BBB6B2ADA8A49F9A9591",
1984
      INIT_1A => X"B9B4AFABA6A19D98938E8A85807C77726E6964605B56524D48433F3A35312C27",
1985
      INIT_1B => X"4E4945403B37322D29241F1B16110D0803FFFAF5F1ECE7E3DED9D5D0CBC7C2BD",
1986
      INIT_1C => X"E3DED9D5D0CBC7C2BDB9B4B0ABA6A29D98948F8A86817C78736E6A65615C5753",
1987
      INIT_1D => X"76726D69645F5B56524D48443F3A36312D28231F1A15110C0803FEFAF5F0ECE7",
1988
      INIT_1E => X"0A0501FCF7F3EEEAE5E0DCD7D3CEC9C5C0BCB7B2AEA9A5A09B97928E8984807B",
1989
      INIT_1F => X"9C98938F8A86817C78736F6A66615C58534F4A45413C38332F2A25211C18130E",
1990
      INIT_20 => X"2E2A25211C18130F0A0501FCF8F3EFEAE5E1DCD8D3CFCAC6C1BCB8B3AFAAA6A1",
1991
      INIT_21 => X"C0BBB7B2AEA9A5A09B97928E8985807C77736E6A65605C57534E4A45413C3833",
1992
      INIT_22 => X"504C47433E3A35312C28231F1A16110D0804FFFBF6F2EDE8E4DFDBD6D2CDC9C4",
1993
      INIT_23 => X"E0DCD7D3CFCAC6C1BDB8B4AFABA6A29D9994908B87827E7974706B67625E5955",
1994
      INIT_24 => X"706B67625E5A55514C48433F3A36312D28241F1B16120D090400FBF7F2EEE9E5",
1995
      INIT_25 => X"FFFAF6F1EDE8E4E0DBD7D2CEC9C5C0BCB7B3AEAAA6A19D98948F8B86827D7974",
1996
      INIT_26 => X"8D8884807B77726E6965615C58534F4A46413D3934302B27221E1915110C0803",
1997
      INIT_27 => X"1A16120D090400FCF7F3EEEAE5E1DDD8D4CFCBC6C2BEB9B5B0ACA7A39F9A9691",
1998
      INIT_28 => X"A7A39F9A96918D8984807B77736E6A65615D58544F4B47423E3935312C28231F",
1999
      INIT_29 => X"342F2B27221E1915110C0804FFFBF6F2EEE9E5E0DCD8D3CFCBC6C2BDB9B5B0AC",
2000
      INIT_2A => X"C0BBB7B2AEAAA5A19D9894908B87827E7A75716D68645F5B57524E4A45413D38",
2001
      INIT_2B => X"4B46423E3935312C28241F1B17120E0A0501FCF8F4EFEBE7E2DEDAD5D1CDC8C4",
2002
      INIT_2C => X"D5D1CDC8C4C0BBB7B3AEAAA6A19D9994908C87837F7A76726D6965605C58534F",
2003
      INIT_2D => X"5F5B57524E4A45413D3834302C27231F1A16120D090500FCF8F3EFEBE7E2DEDA",
2004
      INIT_2E => X"E9E4E0DCD8D3CFCBC6C2BEB9B5B1ADA8A4A09B97938F8A86827D7975706C6864",
2005
      INIT_2F => X"716D6965605C58544F4B47433E3A36312D2925201C18130F0B0702FEFAF5F1ED",
2006
      INIT_30 => X"FAF6F1EDE9E4E0DCD8D3CFCBC7C2BEBAB6B1ADA9A5A09C98948F8B87837E7A76",
2007
      INIT_31 => X"817D7975716C6864605B57534F4A46423E3935312D2824201C17130F0B0602FE",
2008
      INIT_32 => X"090400FCF8F4EFEBE7E3DEDAD6D2CEC9C5C1BDB8B4B0ACA8A39F9B97928E8A86",
2009
      INIT_33 => X"8F8B87837E7A76726E6965615D5954504C48443F3B37332F2A26221E1915110D",
2010
      INIT_34 => X"15110D090400FCF8F4F0EBE7E3DFDBD6D2CECAC6C2BDB9B5B1ADA8A4A09C9893",
2011
      INIT_35 => X"9B97928E8A86827E7975716D6965605C5854504C47433F3B37322E2A26221E19",
2012
      INIT_36 => X"201C17130F0B0703FEFAF6F2EEEAE6E1DDD9D5D1CDC8C4C0BCB8B4B0ABA7A39F",
2013
      INIT_37 => X"A4A09C98948F8B87837F7B77726E6A66625E5A56514D4945413D3934302C2824",
2014
      INIT_38 => X"2824201C18130F0B0703FFFBF7F2EEEAE6E2DEDAD6D1CDC9C5C1BDB9B5B0ACA8",
2015
      INIT_39 => X"ABA7A39F9B97938F8B86827E7A76726E6A66615D5955514D4945413D3834302C",
2016
      INIT_3A => X"2E2A26221E1A16120D090501FDF9F5F1EDE9E5E1DCD8D4D0CCC8C4C0BCB8B4AF",
2017
      INIT_3B => X"B0ACA8A4A09C9894908C8884807C77736F6B67635F5B57534F4B47433E3A3632",
2018
      INIT_3C => X"322E2A26221E1A16120E0A0602FEFAF5F1EDE9E5E1DDD9D5D1CDC9C5C1BDB9B5",
2019
      INIT_3D => X"B4AFABA7A39F9B97938F8B87837F7B77736F6B67635F5B57534F4B46423E3A36",
2020
      INIT_3E => X"34302C2824201C1814100C080400FCF8F4F0ECE8E4E0DCD8D4D0CCC8C4C0BCB8",
2021
      INIT_3F => X"B5B1ADA9A5A19D9995918D8985807C7874706C6864605C5854504C4844403C38",
2022
      INIT_FILE => "NONE",
2023
      INVERT_CLK_DOA_REG => FALSE,
2024
      INVERT_CLK_DOB_REG => FALSE,
2025
      RAM_EXTENSION_A => "NONE",
2026
      RAM_EXTENSION_B => "NONE",
2027
      READ_WIDTH_A => 9,
2028
      READ_WIDTH_B => 9,
2029
      SIM_COLLISION_CHECK => "ALL",
2030
      INITP_06 => X"0000000000FFFFFFFFFFFFFFFC000000000000001FFFFFFFFFFFFFFE00000000",
2031
      INITP_07 => X"000000000003FFFFFFFFFFFFFFF8000000000000000FFFFFFFFFFFFFFFC00000",
2032
      WRITE_MODE_A => "WRITE_FIRST",
2033
      WRITE_MODE_B => "WRITE_FIRST",
2034
      WRITE_WIDTH_A => 9,
2035
      WRITE_WIDTH_B => 9,
2036
      SRVAL_B => X"000000000"
2037
    )
2038
    port map (
2039
      CASCADEINA => BU2_doutb(0),
2040
      CASCADEINB => BU2_doutb(0),
2041
      CLKA => clka,
2042
      CLKB => BU2_doutb(0),
2043
      ENA => addra_2(11),
2044
      REGCEA => BU2_doutb(0),
2045
      REGCEB => BU2_doutb(0),
2046
      ENB => BU2_doutb(0),
2047
      SSRA => BU2_doutb(0),
2048
      SSRB => BU2_doutb(0),
2049
      CASCADEOUTA => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED,
2050
      CASCADEOUTB => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED,
2051
      ADDRA(14) => BU2_doutb(0),
2052
      ADDRA(13) => addra_2(10),
2053
      ADDRA(12) => addra_2(9),
2054
      ADDRA(11) => addra_2(8),
2055
      ADDRA(10) => addra_2(7),
2056
      ADDRA(9) => addra_2(6),
2057
      ADDRA(8) => addra_2(5),
2058
      ADDRA(7) => addra_2(4),
2059
      ADDRA(6) => addra_2(3),
2060
      ADDRA(5) => addra_2(2),
2061
      ADDRA(4) => addra_2(1),
2062
      ADDRA(3) => addra_2(0),
2063
      ADDRA(2) => BU2_doutb(0),
2064
      ADDRA(1) => BU2_doutb(0),
2065
      ADDRA(0) => BU2_doutb(0),
2066
      ADDRB(14) => BU2_doutb(0),
2067
      ADDRB(13) => BU2_doutb(0),
2068
      ADDRB(12) => BU2_doutb(0),
2069
      ADDRB(11) => BU2_doutb(0),
2070
      ADDRB(10) => BU2_doutb(0),
2071
      ADDRB(9) => BU2_doutb(0),
2072
      ADDRB(8) => BU2_doutb(0),
2073
      ADDRB(7) => BU2_doutb(0),
2074
      ADDRB(6) => BU2_doutb(0),
2075
      ADDRB(5) => BU2_doutb(0),
2076
      ADDRB(4) => BU2_doutb(0),
2077
      ADDRB(3) => BU2_doutb(0),
2078
      ADDRB(2) => BU2_doutb(0),
2079
      ADDRB(1) => BU2_doutb(0),
2080
      ADDRB(0) => BU2_doutb(0),
2081
      DIA(31) => BU2_doutb(0),
2082
      DIA(30) => BU2_doutb(0),
2083
      DIA(29) => BU2_doutb(0),
2084
      DIA(28) => BU2_doutb(0),
2085
      DIA(27) => BU2_doutb(0),
2086
      DIA(26) => BU2_doutb(0),
2087
      DIA(25) => BU2_doutb(0),
2088
      DIA(24) => BU2_doutb(0),
2089
      DIA(23) => BU2_doutb(0),
2090
      DIA(22) => BU2_doutb(0),
2091
      DIA(21) => BU2_doutb(0),
2092
      DIA(20) => BU2_doutb(0),
2093
      DIA(19) => BU2_doutb(0),
2094
      DIA(18) => BU2_doutb(0),
2095
      DIA(17) => BU2_doutb(0),
2096
      DIA(16) => BU2_doutb(0),
2097
      DIA(15) => BU2_doutb(0),
2098
      DIA(14) => BU2_doutb(0),
2099
      DIA(13) => BU2_doutb(0),
2100
      DIA(12) => BU2_doutb(0),
2101
      DIA(11) => BU2_doutb(0),
2102
      DIA(10) => BU2_doutb(0),
2103
      DIA(9) => BU2_doutb(0),
2104
      DIA(8) => BU2_doutb(0),
2105
      DIA(7) => BU2_doutb(0),
2106
      DIA(6) => BU2_doutb(0),
2107
      DIA(5) => BU2_doutb(0),
2108
      DIA(4) => BU2_doutb(0),
2109
      DIA(3) => BU2_doutb(0),
2110
      DIA(2) => BU2_doutb(0),
2111
      DIA(1) => BU2_doutb(0),
2112
      DIA(0) => BU2_doutb(0),
2113
      DIB(31) => BU2_doutb(0),
2114
      DIB(30) => BU2_doutb(0),
2115
      DIB(29) => BU2_doutb(0),
2116
      DIB(28) => BU2_doutb(0),
2117
      DIB(27) => BU2_doutb(0),
2118
      DIB(26) => BU2_doutb(0),
2119
      DIB(25) => BU2_doutb(0),
2120
      DIB(24) => BU2_doutb(0),
2121
      DIB(23) => BU2_doutb(0),
2122
      DIB(22) => BU2_doutb(0),
2123
      DIB(21) => BU2_doutb(0),
2124
      DIB(20) => BU2_doutb(0),
2125
      DIB(19) => BU2_doutb(0),
2126
      DIB(18) => BU2_doutb(0),
2127
      DIB(17) => BU2_doutb(0),
2128
      DIB(16) => BU2_doutb(0),
2129
      DIB(15) => BU2_doutb(0),
2130
      DIB(14) => BU2_doutb(0),
2131
      DIB(13) => BU2_doutb(0),
2132
      DIB(12) => BU2_doutb(0),
2133
      DIB(11) => BU2_doutb(0),
2134
      DIB(10) => BU2_doutb(0),
2135
      DIB(9) => BU2_doutb(0),
2136
      DIB(8) => BU2_doutb(0),
2137
      DIB(7) => BU2_doutb(0),
2138
      DIB(6) => BU2_doutb(0),
2139
      DIB(5) => BU2_doutb(0),
2140
      DIB(4) => BU2_doutb(0),
2141
      DIB(3) => BU2_doutb(0),
2142
      DIB(2) => BU2_doutb(0),
2143
      DIB(1) => BU2_doutb(0),
2144
      DIB(0) => BU2_doutb(0),
2145
      DIPA(3) => BU2_doutb(0),
2146
      DIPA(2) => BU2_doutb(0),
2147
      DIPA(1) => BU2_doutb(0),
2148
      DIPA(0) => BU2_doutb(0),
2149
      DIPB(3) => BU2_doutb(0),
2150
      DIPB(2) => BU2_doutb(0),
2151
      DIPB(1) => BU2_doutb(0),
2152
      DIPB(0) => BU2_doutb(0),
2153
      WEA(3) => BU2_doutb(0),
2154
      WEA(2) => BU2_doutb(0),
2155
      WEA(1) => BU2_doutb(0),
2156
      WEA(0) => BU2_doutb(0),
2157
      WEB(3) => BU2_doutb(0),
2158
      WEB(2) => BU2_doutb(0),
2159
      WEB(1) => BU2_doutb(0),
2160
      WEB(0) => BU2_doutb(0),
2161
      DOA(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED,
2162
      DOA(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED,
2163
      DOA(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED,
2164
      DOA(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED,
2165
      DOA(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED,
2166
      DOA(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED,
2167
      DOA(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED,
2168
      DOA(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED,
2169
      DOA(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED,
2170
      DOA(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED,
2171
      DOA(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED,
2172
      DOA(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED,
2173
      DOA(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED,
2174
      DOA(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED,
2175
      DOA(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED,
2176
      DOA(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED,
2177
      DOA(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED,
2178
      DOA(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED,
2179
      DOA(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED,
2180
      DOA(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED,
2181
      DOA(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED,
2182
      DOA(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED,
2183
      DOA(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED,
2184
      DOA(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED,
2185
      DOA(7) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(7),
2186
      DOA(6) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(6),
2187
      DOA(5) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(5),
2188
      DOA(4) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(4),
2189
      DOA(3) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(3),
2190
      DOA(2) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(2),
2191
      DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(1),
2192
      DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(0),
2193
      DOB(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED,
2194
      DOB(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED,
2195
      DOB(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED,
2196
      DOB(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED,
2197
      DOB(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED,
2198
      DOB(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED,
2199
      DOB(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED,
2200
      DOB(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED,
2201
      DOB(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED,
2202
      DOB(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED,
2203
      DOB(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED,
2204
      DOB(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED,
2205
      DOB(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED,
2206
      DOB(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED,
2207
      DOB(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED,
2208
      DOB(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED,
2209
      DOB(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED,
2210
      DOB(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED,
2211
      DOB(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED,
2212
      DOB(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED,
2213
      DOB(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED,
2214
      DOB(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED,
2215
      DOB(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED,
2216
      DOB(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED,
2217
      DOB(7) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED,
2218
      DOB(6) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED,
2219
      DOB(5) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED,
2220
      DOB(4) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED,
2221
      DOB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED,
2222
      DOB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED,
2223
      DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED,
2224
      DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED,
2225
      DOPA(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED,
2226
      DOPA(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED,
2227
      DOPA(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED,
2228
      DOPA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2(8),
2229
      DOPB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED,
2230
      DOPB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED,
2231
      DOPB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED,
2232
      DOPB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED
2233
    );
2234
  BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP : RAMB16
2235
    generic map(
2236
      DOA_REG => 0,
2237
      DOB_REG => 0,
2238
      INIT_A => X"000000000",
2239
      INIT_B => X"000000000",
2240
      INITP_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
2241
      INITP_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
2242
      INITP_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
2243
      INITP_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
2244
      INITP_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
2245
      INITP_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
2246
      SRVAL_A => X"000000000",
2247
      INIT_00 => X"B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B3B3B3B3B3",
2248
      INIT_01 => X"B5B5B5B5B5B5B5B5B5B5B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4B4",
2249
      INIT_02 => X"B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5B5",
2250
      INIT_03 => X"B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B5B5B5B5B5B5",
2251
      INIT_04 => X"B7B7B7B7B7B7B7B7B7B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6B6",
2252
      INIT_05 => X"B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7",
2253
      INIT_06 => X"B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B7B7B7B7B7B7B7B7B7",
2254
      INIT_07 => X"B9B9B9B9B9B9B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8B8",
2255
      INIT_08 => X"B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9B9",
2256
      INIT_09 => X"BABABABABABABABABABABABABABABABABABABABAB9B9B9B9B9B9B9B9B9B9B9B9",
2257
      INIT_0A => X"BBBABABABABABABABABABABABABABABABABABABABABABABABABABABABABABABA",
2258
      INIT_0B => X"BBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBB",
2259
      INIT_0C => X"BCBCBCBCBCBCBCBCBCBCBCBCBCBCBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBB",
2260
      INIT_0D => X"BCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBC",
2261
      INIT_0E => X"BDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBCBCBCBCBC",
2262
      INIT_0F => X"BEBEBEBEBEBEBEBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBDBD",
2263
      INIT_10 => X"BEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBEBE",
2264
      INIT_11 => X"BFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBEBEBEBEBEBEBEBEBEBEBEBEBE",
2265
      INIT_12 => X"BFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBF",
2266
      INIT_13 => X"C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0BFBF",
2267
      INIT_14 => X"C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0",
2268
      INIT_15 => X"C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0",
2269
      INIT_16 => X"C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C0C0C0C0C0C0C0C0C0C0C0C0",
2270
      INIT_17 => X"C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1",
2271
      INIT_18 => X"C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1",
2272
      INIT_19 => X"C2C2C2C2C2C2C2C2C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1C1",
2273
      INIT_1A => X"C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2",
2274
      INIT_1B => X"C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2",
2275
      INIT_1C => X"C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2C2",
2276
      INIT_1D => X"C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C2C2C2C2C2C2",
2277
      INIT_1E => X"C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3",
2278
      INIT_1F => X"C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3",
2279
      INIT_20 => X"C4C4C4C4C4C4C4C4C4C4C4C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3",
2280
      INIT_21 => X"C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4",
2281
      INIT_22 => X"C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4",
2282
      INIT_23 => X"C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4C4",
2283
      INIT_24 => X"C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C4C4C4C4C4C4",
2284
      INIT_25 => X"C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5",
2285
      INIT_26 => X"C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5",
2286
      INIT_27 => X"C6C6C6C6C6C6C6C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5C5",
2287
      INIT_28 => X"C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6",
2288
      INIT_29 => X"C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6",
2289
      INIT_2A => X"C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6C6",
2290
      INIT_2B => X"C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C6C6C6C6C6C6C6C6C6C6C6C6C6C6",
2291
      INIT_2C => X"C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7",
2292
      INIT_2D => X"C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7",
2293
      INIT_2E => X"C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7",
2294
      INIT_2F => X"C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C7C7C7C7C7",
2295
      INIT_30 => X"C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8",
2296
      INIT_31 => X"C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8",
2297
      INIT_32 => X"C9C9C9C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8C8",
2298
      INIT_33 => X"C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9",
2299
      INIT_34 => X"C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9",
2300
      INIT_35 => X"C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9",
2301
      INIT_36 => X"CACACACACACACACAC9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9C9",
2302
      INIT_37 => X"CACACACACACACACACACACACACACACACACACACACACACACACACACACACACACACACA",
2303
      INIT_38 => X"CACACACACACACACACACACACACACACACACACACACACACACACACACACACACACACACA",
2304
      INIT_39 => X"CACACACACACACACACACACACACACACACACACACACACACACACACACACACACACACACA",
2305
      INIT_3A => X"CBCBCBCBCBCBCBCBCBCBCBCBCACACACACACACACACACACACACACACACACACACACA",
2306
      INIT_3B => X"CBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCB",
2307
      INIT_3C => X"CBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCB",
2308
      INIT_3D => X"CBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCB",
2309
      INIT_3E => X"CCCCCCCCCCCCCCCCCCCCCCCCCCCCCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCBCB",
2310
      INIT_3F => X"CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC",
2311
      INIT_FILE => "NONE",
2312
      INVERT_CLK_DOA_REG => FALSE,
2313
      INVERT_CLK_DOB_REG => FALSE,
2314
      RAM_EXTENSION_A => "NONE",
2315
      RAM_EXTENSION_B => "NONE",
2316
      READ_WIDTH_A => 9,
2317
      READ_WIDTH_B => 9,
2318
      SIM_COLLISION_CHECK => "ALL",
2319
      INITP_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
2320
      INITP_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
2321
      WRITE_MODE_A => "WRITE_FIRST",
2322
      WRITE_MODE_B => "WRITE_FIRST",
2323
      WRITE_WIDTH_A => 9,
2324
      WRITE_WIDTH_B => 9,
2325
      SRVAL_B => X"000000000"
2326
    )
2327
    port map (
2328
      CASCADEINA => BU2_doutb(0),
2329
      CASCADEINB => BU2_doutb(0),
2330
      CLKA => clka,
2331
      CLKB => BU2_doutb(0),
2332
      ENA => addra_2(11),
2333
      REGCEA => BU2_doutb(0),
2334
      REGCEB => BU2_doutb(0),
2335
      ENB => BU2_doutb(0),
2336
      SSRA => BU2_doutb(0),
2337
      SSRB => BU2_doutb(0),
2338
      CASCADEOUTA => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED,
2339
      CASCADEOUTB => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED,
2340
      ADDRA(14) => BU2_doutb(0),
2341
      ADDRA(13) => addra_2(10),
2342
      ADDRA(12) => addra_2(9),
2343
      ADDRA(11) => addra_2(8),
2344
      ADDRA(10) => addra_2(7),
2345
      ADDRA(9) => addra_2(6),
2346
      ADDRA(8) => addra_2(5),
2347
      ADDRA(7) => addra_2(4),
2348
      ADDRA(6) => addra_2(3),
2349
      ADDRA(5) => addra_2(2),
2350
      ADDRA(4) => addra_2(1),
2351
      ADDRA(3) => addra_2(0),
2352
      ADDRA(2) => BU2_doutb(0),
2353
      ADDRA(1) => BU2_doutb(0),
2354
      ADDRA(0) => BU2_doutb(0),
2355
      ADDRB(14) => BU2_doutb(0),
2356
      ADDRB(13) => BU2_doutb(0),
2357
      ADDRB(12) => BU2_doutb(0),
2358
      ADDRB(11) => BU2_doutb(0),
2359
      ADDRB(10) => BU2_doutb(0),
2360
      ADDRB(9) => BU2_doutb(0),
2361
      ADDRB(8) => BU2_doutb(0),
2362
      ADDRB(7) => BU2_doutb(0),
2363
      ADDRB(6) => BU2_doutb(0),
2364
      ADDRB(5) => BU2_doutb(0),
2365
      ADDRB(4) => BU2_doutb(0),
2366
      ADDRB(3) => BU2_doutb(0),
2367
      ADDRB(2) => BU2_doutb(0),
2368
      ADDRB(1) => BU2_doutb(0),
2369
      ADDRB(0) => BU2_doutb(0),
2370
      DIA(31) => BU2_doutb(0),
2371
      DIA(30) => BU2_doutb(0),
2372
      DIA(29) => BU2_doutb(0),
2373
      DIA(28) => BU2_doutb(0),
2374
      DIA(27) => BU2_doutb(0),
2375
      DIA(26) => BU2_doutb(0),
2376
      DIA(25) => BU2_doutb(0),
2377
      DIA(24) => BU2_doutb(0),
2378
      DIA(23) => BU2_doutb(0),
2379
      DIA(22) => BU2_doutb(0),
2380
      DIA(21) => BU2_doutb(0),
2381
      DIA(20) => BU2_doutb(0),
2382
      DIA(19) => BU2_doutb(0),
2383
      DIA(18) => BU2_doutb(0),
2384
      DIA(17) => BU2_doutb(0),
2385
      DIA(16) => BU2_doutb(0),
2386
      DIA(15) => BU2_doutb(0),
2387
      DIA(14) => BU2_doutb(0),
2388
      DIA(13) => BU2_doutb(0),
2389
      DIA(12) => BU2_doutb(0),
2390
      DIA(11) => BU2_doutb(0),
2391
      DIA(10) => BU2_doutb(0),
2392
      DIA(9) => BU2_doutb(0),
2393
      DIA(8) => BU2_doutb(0),
2394
      DIA(7) => BU2_doutb(0),
2395
      DIA(6) => BU2_doutb(0),
2396
      DIA(5) => BU2_doutb(0),
2397
      DIA(4) => BU2_doutb(0),
2398
      DIA(3) => BU2_doutb(0),
2399
      DIA(2) => BU2_doutb(0),
2400
      DIA(1) => BU2_doutb(0),
2401
      DIA(0) => BU2_doutb(0),
2402
      DIB(31) => BU2_doutb(0),
2403
      DIB(30) => BU2_doutb(0),
2404
      DIB(29) => BU2_doutb(0),
2405
      DIB(28) => BU2_doutb(0),
2406
      DIB(27) => BU2_doutb(0),
2407
      DIB(26) => BU2_doutb(0),
2408
      DIB(25) => BU2_doutb(0),
2409
      DIB(24) => BU2_doutb(0),
2410
      DIB(23) => BU2_doutb(0),
2411
      DIB(22) => BU2_doutb(0),
2412
      DIB(21) => BU2_doutb(0),
2413
      DIB(20) => BU2_doutb(0),
2414
      DIB(19) => BU2_doutb(0),
2415
      DIB(18) => BU2_doutb(0),
2416
      DIB(17) => BU2_doutb(0),
2417
      DIB(16) => BU2_doutb(0),
2418
      DIB(15) => BU2_doutb(0),
2419
      DIB(14) => BU2_doutb(0),
2420
      DIB(13) => BU2_doutb(0),
2421
      DIB(12) => BU2_doutb(0),
2422
      DIB(11) => BU2_doutb(0),
2423
      DIB(10) => BU2_doutb(0),
2424
      DIB(9) => BU2_doutb(0),
2425
      DIB(8) => BU2_doutb(0),
2426
      DIB(7) => BU2_doutb(0),
2427
      DIB(6) => BU2_doutb(0),
2428
      DIB(5) => BU2_doutb(0),
2429
      DIB(4) => BU2_doutb(0),
2430
      DIB(3) => BU2_doutb(0),
2431
      DIB(2) => BU2_doutb(0),
2432
      DIB(1) => BU2_doutb(0),
2433
      DIB(0) => BU2_doutb(0),
2434
      DIPA(3) => BU2_doutb(0),
2435
      DIPA(2) => BU2_doutb(0),
2436
      DIPA(1) => BU2_doutb(0),
2437
      DIPA(0) => BU2_doutb(0),
2438
      DIPB(3) => BU2_doutb(0),
2439
      DIPB(2) => BU2_doutb(0),
2440
      DIPB(1) => BU2_doutb(0),
2441
      DIPB(0) => BU2_doutb(0),
2442
      WEA(3) => BU2_doutb(0),
2443
      WEA(2) => BU2_doutb(0),
2444
      WEA(1) => BU2_doutb(0),
2445
      WEA(0) => BU2_doutb(0),
2446
      WEB(3) => BU2_doutb(0),
2447
      WEB(2) => BU2_doutb(0),
2448
      WEB(1) => BU2_doutb(0),
2449
      WEB(0) => BU2_doutb(0),
2450
      DOA(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED,
2451
      DOA(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED,
2452
      DOA(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED,
2453
      DOA(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED,
2454
      DOA(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED,
2455
      DOA(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED,
2456
      DOA(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED,
2457
      DOA(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED,
2458
      DOA(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED,
2459
      DOA(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED,
2460
      DOA(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED,
2461
      DOA(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED,
2462
      DOA(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED,
2463
      DOA(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED,
2464
      DOA(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED,
2465
      DOA(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED,
2466
      DOA(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED,
2467
      DOA(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED,
2468
      DOA(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_13_UNCONNECTED,
2469
      DOA(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_12_UNCONNECTED,
2470
      DOA(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_11_UNCONNECTED,
2471
      DOA(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_10_UNCONNECTED,
2472
      DOA(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_9_UNCONNECTED,
2473
      DOA(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_8_UNCONNECTED,
2474
      DOA(7) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(7),
2475
      DOA(6) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(6),
2476
      DOA(5) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(5),
2477
      DOA(4) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(4),
2478
      DOA(3) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(3),
2479
      DOA(2) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(2),
2480
      DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(1),
2481
      DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(0),
2482
      DOB(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED,
2483
      DOB(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED,
2484
      DOB(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED,
2485
      DOB(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED,
2486
      DOB(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED,
2487
      DOB(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED,
2488
      DOB(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED,
2489
      DOB(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED,
2490
      DOB(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED,
2491
      DOB(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED,
2492
      DOB(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED,
2493
      DOB(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED,
2494
      DOB(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED,
2495
      DOB(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED,
2496
      DOB(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED,
2497
      DOB(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED,
2498
      DOB(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED,
2499
      DOB(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED,
2500
      DOB(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED,
2501
      DOB(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED,
2502
      DOB(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED,
2503
      DOB(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED,
2504
      DOB(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED,
2505
      DOB(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED,
2506
      DOB(7) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED,
2507
      DOB(6) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED,
2508
      DOB(5) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED,
2509
      DOB(4) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED,
2510
      DOB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED,
2511
      DOB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED,
2512
      DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED,
2513
      DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED,
2514
      DOPA(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED,
2515
      DOPA(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED,
2516
      DOPA(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED,
2517
      DOPA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4(8),
2518
      DOPB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED,
2519
      DOPB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED,
2520
      DOPB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED,
2521
      DOPB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED
2522
    );
2523
  BU2_XST_VCC : VCC
2524
    port map (
2525
      P => BU2_N1
2526
    );
2527
  BU2_XST_GND : GND
2528
    port map (
2529
      G => BU2_doutb(0)
2530
    );
2531
 
2532
end STRUCTURE;
2533
 
2534
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.