OpenCores
URL https://opencores.org/ocsvn/fp_log/fp_log/trunk

Subversion Repositories fp_log

[/] [fp_log/] [trunk/] [LAU/] [Virtex 4/] [DP-LAU/] [reg_1b_18c.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: reg_1b_18c.vhd
10
-- /___/   /\     Timestamp: Fri Sep 18 15:00:50 2009
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\reg_1b_18c.ngc" "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\reg_1b_18c.vhd" 
15
-- Device       : 4vsx55ff1148-12
16
-- Input file   : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/reg_1b_18c.ngc
17
-- Output file  : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/reg_1b_18c.vhd
18
-- # of Entities        : 1
19
-- Design Name  : reg_1b_18c
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity reg_1b_18c is
44
  port (
45
    sclr : in STD_LOGIC := 'X';
46
    clk : in STD_LOGIC := 'X';
47
    d : in STD_LOGIC_VECTOR ( 0 downto 0 );
48
    q : out STD_LOGIC_VECTOR ( 0 downto 0 )
49
  );
50
end reg_1b_18c;
51
 
52
architecture STRUCTURE of reg_1b_18c is
53
  signal BU2_sset : STD_LOGIC;
54
  signal BU2_sinit : STD_LOGIC;
55
  signal BU2_ainit : STD_LOGIC;
56
  signal BU2_aclr : STD_LOGIC;
57
  signal BU2_ce : STD_LOGIC;
58
  signal BU2_aset : STD_LOGIC;
59
  signal BU2_U0_Mshreg_srl_sig_22_1_8 : STD_LOGIC;
60
  signal BU2_U0_Mshreg_srl_sig_22_0_7 : STD_LOGIC;
61
  signal BU2_U0_N1 : STD_LOGIC;
62
  signal BU2_U0_N0 : STD_LOGIC;
63
  signal BU2_U0_srl_sig_22_4 : STD_LOGIC;
64
  signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
65
  signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
66
  signal NLW_BU2_U0_Mshreg_srl_sig_22_0_Q_UNCONNECTED : STD_LOGIC;
67
  signal d_2 : STD_LOGIC_VECTOR ( 0 downto 0 );
68
  signal q_3 : STD_LOGIC_VECTOR ( 0 downto 0 );
69
  signal BU2_a : STD_LOGIC_VECTOR ( 3 downto 0 );
70
begin
71
  d_2(0) <= d(0);
72
  q(0) <= q_3(0);
73
  VCC_0 : VCC
74
    port map (
75
      P => NLW_VCC_P_UNCONNECTED
76
    );
77
  GND_1 : GND
78
    port map (
79
      G => NLW_GND_G_UNCONNECTED
80
    );
81
  BU2_U0_srl_sig_22 : FD
82
    generic map(
83
      INIT => '0'
84
    )
85
    port map (
86
      C => clk,
87
      D => BU2_U0_Mshreg_srl_sig_22_1_8,
88
      Q => BU2_U0_srl_sig_22_4
89
    );
90
  BU2_U0_Mshreg_srl_sig_22_1 : SRL16
91
    generic map(
92
      INIT => X"0000"
93
    )
94
    port map (
95
      A0 => BU2_U0_N1,
96
      A1 => BU2_U0_N0,
97
      A2 => BU2_U0_N1,
98
      A3 => BU2_U0_N0,
99
      CLK => clk,
100
      D => BU2_U0_Mshreg_srl_sig_22_0_7,
101
      Q => BU2_U0_Mshreg_srl_sig_22_1_8
102
    );
103
  BU2_U0_Mshreg_srl_sig_22_0 : SRLC16
104
    generic map(
105
      INIT => X"0000"
106
    )
107
    port map (
108
      A0 => BU2_U0_N1,
109
      A1 => BU2_U0_N1,
110
      A2 => BU2_U0_N1,
111
      A3 => BU2_U0_N1,
112
      CLK => clk,
113
      D => d_2(0),
114
      Q => NLW_BU2_U0_Mshreg_srl_sig_22_0_Q_UNCONNECTED,
115
      Q15 => BU2_U0_Mshreg_srl_sig_22_0_7
116
    );
117
  BU2_U0_XST_VCC : VCC
118
    port map (
119
      P => BU2_U0_N1
120
    );
121
  BU2_U0_XST_GND : GND
122
    port map (
123
      G => BU2_U0_N0
124
    );
125
  BU2_U0_gen_output_regs_output_regs_fd_output_1 : FDR
126
    generic map(
127
      INIT => '0'
128
    )
129
    port map (
130
      C => clk,
131
      D => BU2_U0_srl_sig_22_4,
132
      R => sclr,
133
      Q => q_3(0)
134
    );
135
 
136
end STRUCTURE;
137
 
138
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.