OpenCores
URL https://opencores.org/ocsvn/fp_log/fp_log/trunk

Subversion Repositories fp_log

[/] [fp_log/] [trunk/] [LAU/] [Virtex 5/] [DP-LAU/] [reg_2b_1c.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: reg_2b_1c.vhd
10
-- /___/   /\     Timestamp: Wed Jun 24 11:17:45 2009
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\reg_2b_1c.ngc" "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\reg_2b_1c.vhd" 
15
-- Device       : 5vsx95tff1136-2
16
-- Input file   : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/reg_2b_1c.ngc
17
-- Output file  : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/reg_2b_1c.vhd
18
-- # of Entities        : 1
19
-- Design Name  : reg_2b_1c
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity reg_2b_1c is
44
  port (
45
    sclr : in STD_LOGIC := 'X';
46
    clk : in STD_LOGIC := 'X';
47
    d : in STD_LOGIC_VECTOR ( 1 downto 0 );
48
    q : out STD_LOGIC_VECTOR ( 1 downto 0 )
49
  );
50
end reg_2b_1c;
51
 
52
architecture STRUCTURE of reg_2b_1c is
53
  signal BU2_sset : STD_LOGIC;
54
  signal BU2_sinit : STD_LOGIC;
55
  signal BU2_ainit : STD_LOGIC;
56
  signal BU2_aclr : STD_LOGIC;
57
  signal BU2_ce : STD_LOGIC;
58
  signal BU2_aset : STD_LOGIC;
59
  signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
60
  signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
61
  signal d_2 : STD_LOGIC_VECTOR ( 1 downto 0 );
62
  signal q_3 : STD_LOGIC_VECTOR ( 1 downto 0 );
63
  signal BU2_a : STD_LOGIC_VECTOR ( 3 downto 0 );
64
begin
65
  d_2(1) <= d(1);
66
  d_2(0) <= d(0);
67
  q(1) <= q_3(1);
68
  q(0) <= q_3(0);
69
  VCC_0 : VCC
70
    port map (
71
      P => NLW_VCC_P_UNCONNECTED
72
    );
73
  GND_1 : GND
74
    port map (
75
      G => NLW_GND_G_UNCONNECTED
76
    );
77
  BU2_U0_gen_output_regs_output_regs_fd_output_2 : FDR
78
    generic map(
79
      INIT => '0'
80
    )
81
    port map (
82
      C => clk,
83
      D => d_2(1),
84
      R => sclr,
85
      Q => q_3(1)
86
    );
87
  BU2_U0_gen_output_regs_output_regs_fd_output_1 : FDR
88
    generic map(
89
      INIT => '0'
90
    )
91
    port map (
92
      C => clk,
93
      D => d_2(0),
94
      R => sclr,
95
      Q => q_3(0)
96
    );
97
 
98
end STRUCTURE;
99
 
100
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.