OpenCores
URL https://opencores.org/ocsvn/fp_log/fp_log/trunk

Subversion Repositories fp_log

[/] [fp_log/] [trunk/] [LAU/] [Virtex 5/] [SP-LAU/] [comp_eq_111111.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: comp_eq_111111.vhd
10
-- /___/   /\     Timestamp: Tue Jul 14 13:39:48 2009
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\comp_eq_111111.ngc" "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\comp_eq_111111.vhd" 
15
-- Device       : 5vsx95tff1136-2
16
-- Input file   : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/comp_eq_111111.ngc
17
-- Output file  : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/comp_eq_111111.vhd
18
-- # of Entities        : 1
19
-- Design Name  : comp_eq_111111
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity comp_eq_111111 is
44
  port (
45
    sclr : in STD_LOGIC := 'X';
46
    qa_eq_b : out STD_LOGIC;
47
    clk : in STD_LOGIC := 'X';
48
    a : in STD_LOGIC_VECTOR ( 5 downto 0 )
49
  );
50
end comp_eq_111111;
51
 
52
architecture STRUCTURE of comp_eq_111111 is
53
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_result : STD_LOGIC;
54
  signal BU2_a_ge_b : STD_LOGIC;
55
  signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
56
  signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
57
  signal a_2 : STD_LOGIC_VECTOR ( 5 downto 0 );
58
begin
59
  a_2(5) <= a(5);
60
  a_2(4) <= a(4);
61
  a_2(3) <= a(3);
62
  a_2(2) <= a(2);
63
  a_2(1) <= a(1);
64
  a_2(0) <= a(0);
65
  VCC_0 : VCC
66
    port map (
67
      P => NLW_VCC_P_UNCONNECTED
68
    );
69
  GND_1 : GND
70
    port map (
71
      G => NLW_GND_G_UNCONNECTED
72
    );
73
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_result_cmp_eq00001 : LUT6
74
    generic map(
75
      INIT => X"8000000000000000"
76
    )
77
    port map (
78
      I0 => a_2(0),
79
      I1 => a_2(1),
80
      I2 => a_2(2),
81
      I3 => a_2(3),
82
      I4 => a_2(4),
83
      I5 => a_2(5),
84
      O => BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_result
85
    );
86
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_gen_output_reg_output_reg_fd_output_1 : FDR
87
    generic map(
88
      INIT => '0'
89
    )
90
    port map (
91
      C => clk,
92
      D => BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_result,
93
      R => sclr,
94
      Q => qa_eq_b
95
    );
96
  BU2_XST_GND : GND
97
    port map (
98
      G => BU2_a_ge_b
99
    );
100
 
101
end STRUCTURE;
102
 
103
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.