OpenCores
URL https://opencores.org/ocsvn/fp_log/fp_log/trunk

Subversion Repositories fp_log

[/] [fp_log/] [trunk/] [LAU/] [Virtex 5/] [SP-LAU/] [exp_lut_MEM.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: exp_lut_MEM.vhd
10
-- /___/   /\     Timestamp: Tue Jul 14 13:27:28 2009
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\exp_lut_MEM.ngc" "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\exp_lut_MEM.vhd" 
15
-- Device       : 5vsx95tff1136-1
16
-- Input file   : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/exp_lut_MEM.ngc
17
-- Output file  : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/exp_lut_MEM.vhd
18
-- # of Entities        : 1
19
-- Design Name  : exp_lut_MEM
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity exp_lut_MEM is
44
  port (
45
    clka : in STD_LOGIC := 'X';
46
    addra : in STD_LOGIC_VECTOR ( 6 downto 0 );
47
    douta : out STD_LOGIC_VECTOR ( 8 downto 0 )
48
  );
49
end exp_lut_MEM;
50
 
51
architecture STRUCTURE of exp_lut_MEM is
52
  signal BU2_N1 : STD_LOGIC;
53
  signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
54
  signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
55
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_15_UNCONNECTED : STD_LOGIC;
56
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_14_UNCONNECTED : STD_LOGIC;
57
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_13_UNCONNECTED : STD_LOGIC;
58
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_12_UNCONNECTED : STD_LOGIC;
59
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_11_UNCONNECTED : STD_LOGIC;
60
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_10_UNCONNECTED : STD_LOGIC;
61
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_7_UNCONNECTED : STD_LOGIC;
62
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_6_UNCONNECTED : STD_LOGIC;
63
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_5_UNCONNECTED : STD_LOGIC;
64
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_4_UNCONNECTED : STD_LOGIC;
65
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_3_UNCONNECTED : STD_LOGIC;
66
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_15_UNCONNECTED : STD_LOGIC;
67
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_14_UNCONNECTED : STD_LOGIC;
68
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_13_UNCONNECTED : STD_LOGIC;
69
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_12_UNCONNECTED : STD_LOGIC;
70
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_11_UNCONNECTED : STD_LOGIC;
71
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_10_UNCONNECTED : STD_LOGIC;
72
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_7_UNCONNECTED : STD_LOGIC;
73
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_6_UNCONNECTED : STD_LOGIC;
74
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_5_UNCONNECTED : STD_LOGIC;
75
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_4_UNCONNECTED : STD_LOGIC;
76
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_3_UNCONNECTED : STD_LOGIC;
77
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_2_UNCONNECTED : STD_LOGIC;
78
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOPA_1_UNCONNECTED : STD_LOGIC;
79
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOPA_0_UNCONNECTED : STD_LOGIC;
80
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOPB_1_UNCONNECTED : STD_LOGIC;
81
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOPB_0_UNCONNECTED : STD_LOGIC;
82
  signal addra_2 : STD_LOGIC_VECTOR ( 6 downto 0 );
83
  signal douta_3 : STD_LOGIC_VECTOR ( 8 downto 0 );
84
  signal BU2_doutb : STD_LOGIC_VECTOR ( 0 downto 0 );
85
begin
86
  addra_2(6) <= addra(6);
87
  addra_2(5) <= addra(5);
88
  addra_2(4) <= addra(4);
89
  addra_2(3) <= addra(3);
90
  addra_2(2) <= addra(2);
91
  addra_2(1) <= addra(1);
92
  addra_2(0) <= addra(0);
93
  douta(8) <= douta_3(8);
94
  douta(7) <= douta_3(7);
95
  douta(6) <= douta_3(6);
96
  douta(5) <= douta_3(5);
97
  douta(4) <= douta_3(4);
98
  douta(3) <= douta_3(3);
99
  douta(2) <= douta_3(2);
100
  douta(1) <= douta_3(1);
101
  douta(0) <= douta_3(0);
102
  VCC_0 : VCC
103
    port map (
104
      P => NLW_VCC_P_UNCONNECTED
105
    );
106
  GND_1 : GND
107
    port map (
108
      G => NLW_GND_G_UNCONNECTED
109
    );
110
  BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP : RAMB18
111
    generic map(
112
      DOA_REG => 0,
113
      DOB_REG => 0,
114
      INIT_A => X"00000",
115
      INIT_B => X"00000",
116
      INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
117
      INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
118
      INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
119
      INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
120
      INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
121
      INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
122
      SRVAL_A => X"00000",
123
      INIT_00 => X"0203030002030301020303020203030302030304020303050203030602030307",
124
      INIT_01 => X"0203020002030201020302020203020302030204020302050203020602030207",
125
      INIT_02 => X"0203010002030101020301020203010302030104020301050203010602030107",
126
      INIT_03 => X"0203000002030001020300020203000302030004020300050203000602030007",
127
      INIT_04 => X"0202030002020301020203020202030302020304020203050202030602020307",
128
      INIT_05 => X"0202020002020201020202020202020302020204020202050202020602020207",
129
      INIT_06 => X"0202010002020101020201020202010302020104020201050202010602020107",
130
      INIT_07 => X"0202000002020001020200020202000302020004020200050202000602020007",
131
      INIT_08 => X"0201020002010202020102040201020602010300020103020201030402010306",
132
      INIT_09 => X"0201000002010002020100040201000602010100020101020201010402010106",
133
      INIT_0A => X"0200020002000202020002040200020602000300020003020200030402000306",
134
      INIT_0B => X"0200000002000002020000040200000602000100020001020200010402000106",
135
      INIT_0C => X"0103000001030004010301000103010401030200010302040103030001030304",
136
      INIT_0D => X"0102000001020004010201000102010401020200010202040102030001020304",
137
      INIT_0E => X"0100000001000100010002000100030001010000010101000101020001010300",
138
      INIT_0F => X"0000000003020000000000000001000000020000000202000003000000030200",
139
      INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
140
      INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
141
      INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
142
      INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
143
      INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
144
      INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
145
      INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
146
      INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
147
      INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
148
      INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
149
      INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
150
      INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
151
      INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
152
      INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
153
      INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
154
      INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
155
      INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
156
      INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
157
      INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
158
      INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
159
      INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
160
      INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
161
      INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
162
      INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
163
      INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
164
      INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
165
      INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
166
      INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
167
      INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
168
      INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
169
      INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
170
      INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
171
      INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
172
      INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
173
      INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
174
      INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
175
      INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
176
      INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
177
      INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
178
      INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
179
      INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
180
      INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
181
      INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
182
      INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
183
      INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
184
      INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
185
      INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
186
      INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
187
      INIT_FILE => "NONE",
188
      READ_WIDTH_A => 18,
189
      READ_WIDTH_B => 18,
190
      SIM_COLLISION_CHECK => "ALL",
191
      SIM_MODE => "SAFE",
192
      INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
193
      INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
194
      WRITE_MODE_A => "WRITE_FIRST",
195
      WRITE_MODE_B => "WRITE_FIRST",
196
      WRITE_WIDTH_A => 18,
197
      WRITE_WIDTH_B => 18,
198
      SRVAL_B => X"00000"
199
    )
200
    port map (
201
      CLKA => clka,
202
      CLKB => clka,
203
      ENA => BU2_N1,
204
      ENB => BU2_N1,
205
      REGCEA => BU2_doutb(0),
206
      REGCEB => BU2_doutb(0),
207
      SSRA => BU2_doutb(0),
208
      SSRB => BU2_doutb(0),
209
      ADDRA(13) => BU2_doutb(0),
210
      ADDRA(12) => BU2_doutb(0),
211
      ADDRA(11) => addra_2(6),
212
      ADDRA(10) => addra_2(5),
213
      ADDRA(9) => addra_2(4),
214
      ADDRA(8) => addra_2(3),
215
      ADDRA(7) => addra_2(2),
216
      ADDRA(6) => addra_2(1),
217
      ADDRA(5) => addra_2(0),
218
      ADDRA(4) => BU2_doutb(0),
219
      ADDRA(3) => BU2_doutb(0),
220
      ADDRA(2) => BU2_doutb(0),
221
      ADDRA(1) => BU2_doutb(0),
222
      ADDRA(0) => BU2_doutb(0),
223
      ADDRB(13) => BU2_doutb(0),
224
      ADDRB(12) => BU2_doutb(0),
225
      ADDRB(11) => addra_2(6),
226
      ADDRB(10) => addra_2(5),
227
      ADDRB(9) => addra_2(4),
228
      ADDRB(8) => addra_2(3),
229
      ADDRB(7) => addra_2(2),
230
      ADDRB(6) => addra_2(1),
231
      ADDRB(5) => addra_2(0),
232
      ADDRB(4) => BU2_N1,
233
      ADDRB(3) => BU2_doutb(0),
234
      ADDRB(2) => BU2_doutb(0),
235
      ADDRB(1) => BU2_doutb(0),
236
      ADDRB(0) => BU2_doutb(0),
237
      DIA(15) => BU2_doutb(0),
238
      DIA(14) => BU2_doutb(0),
239
      DIA(13) => BU2_doutb(0),
240
      DIA(12) => BU2_doutb(0),
241
      DIA(11) => BU2_doutb(0),
242
      DIA(10) => BU2_doutb(0),
243
      DIA(9) => BU2_doutb(0),
244
      DIA(8) => BU2_doutb(0),
245
      DIA(7) => BU2_doutb(0),
246
      DIA(6) => BU2_doutb(0),
247
      DIA(5) => BU2_doutb(0),
248
      DIA(4) => BU2_doutb(0),
249
      DIA(3) => BU2_doutb(0),
250
      DIA(2) => BU2_doutb(0),
251
      DIA(1) => BU2_doutb(0),
252
      DIA(0) => BU2_doutb(0),
253
      DIB(15) => BU2_doutb(0),
254
      DIB(14) => BU2_doutb(0),
255
      DIB(13) => BU2_doutb(0),
256
      DIB(12) => BU2_doutb(0),
257
      DIB(11) => BU2_doutb(0),
258
      DIB(10) => BU2_doutb(0),
259
      DIB(9) => BU2_doutb(0),
260
      DIB(8) => BU2_doutb(0),
261
      DIB(7) => BU2_doutb(0),
262
      DIB(6) => BU2_doutb(0),
263
      DIB(5) => BU2_doutb(0),
264
      DIB(4) => BU2_doutb(0),
265
      DIB(3) => BU2_doutb(0),
266
      DIB(2) => BU2_doutb(0),
267
      DIB(1) => BU2_doutb(0),
268
      DIB(0) => BU2_doutb(0),
269
      DIPA(1) => BU2_doutb(0),
270
      DIPA(0) => BU2_doutb(0),
271
      DIPB(1) => BU2_doutb(0),
272
      DIPB(0) => BU2_doutb(0),
273
      DOA(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_15_UNCONNECTED,
274
      DOA(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_14_UNCONNECTED,
275
      DOA(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_13_UNCONNECTED,
276
      DOA(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_12_UNCONNECTED,
277
      DOA(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_11_UNCONNECTED,
278
      DOA(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_10_UNCONNECTED,
279
      DOA(9) => douta_3(4),
280
      DOA(8) => douta_3(3),
281
      DOA(7) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_7_UNCONNECTED,
282
      DOA(6) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_6_UNCONNECTED,
283
      DOA(5) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_5_UNCONNECTED,
284
      DOA(4) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_4_UNCONNECTED,
285
      DOA(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOA_3_UNCONNECTED,
286
      DOA(2) => douta_3(2),
287
      DOA(1) => douta_3(1),
288
      DOA(0) => douta_3(0),
289
      DOB(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_15_UNCONNECTED,
290
      DOB(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_14_UNCONNECTED,
291
      DOB(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_13_UNCONNECTED,
292
      DOB(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_12_UNCONNECTED,
293
      DOB(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_11_UNCONNECTED,
294
      DOB(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_10_UNCONNECTED,
295
      DOB(9) => douta_3(8),
296
      DOB(8) => douta_3(7),
297
      DOB(7) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_7_UNCONNECTED,
298
      DOB(6) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_6_UNCONNECTED,
299
      DOB(5) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_5_UNCONNECTED,
300
      DOB(4) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_4_UNCONNECTED,
301
      DOB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_3_UNCONNECTED,
302
      DOB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOB_2_UNCONNECTED,
303
      DOB(1) => douta_3(6),
304
      DOB(0) => douta_3(5),
305
      DOPA(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOPA_1_UNCONNECTED,
306
      DOPA(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOPA_0_UNCONNECTED,
307
      DOPB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOPB_1_UNCONNECTED,
308
      DOPB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v5_init_ram_SP_WIDE_PRIM18_SP_DOPB_0_UNCONNECTED,
309
      WEA(1) => BU2_doutb(0),
310
      WEA(0) => BU2_doutb(0),
311
      WEB(1) => BU2_doutb(0),
312
      WEB(0) => BU2_doutb(0)
313
    );
314
  BU2_XST_VCC : VCC
315
    port map (
316
      P => BU2_N1
317
    );
318
  BU2_XST_GND : GND
319
    port map (
320
      G => BU2_doutb(0)
321
    );
322
 
323
end STRUCTURE;
324
 
325
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.