OpenCores
URL https://opencores.org/ocsvn/fp_log/fp_log/trunk

Subversion Repositories fp_log

[/] [fp_log/] [trunk/] [LAU/] [Virtex 5/] [SP-LAU/] [reg_1b_1c.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: reg_1b_1c.vhd
10
-- /___/   /\     Timestamp: Mon Jun 22 17:44:50 2009
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\reg_1b_1c.ngc" "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\reg_1b_1c.vhd" 
15
-- Device       : 5vsx95tff1136-2
16
-- Input file   : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/reg_1b_1c.ngc
17
-- Output file  : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/reg_1b_1c.vhd
18
-- # of Entities        : 1
19
-- Design Name  : reg_1b_1c
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity reg_1b_1c is
44
  port (
45
    sclr : in STD_LOGIC := 'X';
46
    clk : in STD_LOGIC := 'X';
47
    d : in STD_LOGIC_VECTOR ( 0 downto 0 );
48
    q : out STD_LOGIC_VECTOR ( 0 downto 0 )
49
  );
50
end reg_1b_1c;
51
 
52
architecture STRUCTURE of reg_1b_1c is
53
  signal BU2_sset : STD_LOGIC;
54
  signal BU2_sinit : STD_LOGIC;
55
  signal BU2_ainit : STD_LOGIC;
56
  signal BU2_aclr : STD_LOGIC;
57
  signal BU2_ce : STD_LOGIC;
58
  signal BU2_aset : STD_LOGIC;
59
  signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
60
  signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
61
  signal d_2 : STD_LOGIC_VECTOR ( 0 downto 0 );
62
  signal q_3 : STD_LOGIC_VECTOR ( 0 downto 0 );
63
  signal BU2_a : STD_LOGIC_VECTOR ( 3 downto 0 );
64
begin
65
  d_2(0) <= d(0);
66
  q(0) <= q_3(0);
67
  VCC_0 : VCC
68
    port map (
69
      P => NLW_VCC_P_UNCONNECTED
70
    );
71
  GND_1 : GND
72
    port map (
73
      G => NLW_GND_G_UNCONNECTED
74
    );
75
  BU2_U0_gen_output_regs_output_regs_fd_output_1 : FDR
76
    generic map(
77
      INIT => '0'
78
    )
79
    port map (
80
      C => clk,
81
      D => d_2(0),
82
      R => sclr,
83
      Q => q_3(0)
84
    );
85
 
86
end STRUCTURE;
87
 
88
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.