OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [test_bench/] [mult64x64_tb.sv] - Blame information for rev 73

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 73 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2020-2022  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8
//      mult64x64_tb.sv
9
//
10
// BSD 3-Clause License
11
// Redistribution and use in source and binary forms, with or without
12
// modification, are permitted provided that the following conditions are met:
13
//
14
// 1. Redistributions of source code must retain the above copyright notice, this
15
//    list of conditions and the following disclaimer.
16
//
17
// 2. Redistributions in binary form must reproduce the above copyright notice,
18
//    this list of conditions and the following disclaimer in the documentation
19
//    and/or other materials provided with the distribution.
20
//
21
// 3. Neither the name of the copyright holder nor the names of its
22
//    contributors may be used to endorse or promote products derived from
23
//    this software without specific prior written permission.
24
//
25
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
27
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
28
// DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
29
// FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
30
// DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
31
// SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
32
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
33
// OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
34
// OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
35
//
36
// ============================================================================
37
 
38
module mult64x64_tb();
39
reg clk;
40
reg [23:0] count;
41
reg [31:0] adr;
42
 
43
reg rst;
44
reg [63:0] a, b;
45
wire [127:0] o;
46
wire [127:0] p = a * b;
47
 
48
always #5 clk = ~clk;
49
 
50
mult64x64 u1 (clk, 1'b1, a, b, o);
51
 
52
integer outfile;
53
 
54
initial begin
55
        rst = 1'b0;
56
        clk = 1'b0;
57
        #20 rst = 1;
58
        #50 rst = 0;
59
        #1000000  $fclose(outfile);
60
        #10 $finish;
61
end
62
 
63
always #5
64
        clk = ~clk;
65
 
66
always_ff @(posedge clk)
67
if (rst) begin
68
        adr <= 0;
69
        count <= 0;
70
        a <= $urandom(1);
71
end
72
else
73
begin
74
  if (adr==0) begin
75
    outfile = $fopen("d:/cores2022/rf6809/rtl/fpu/test_bench/mult64x64_tvo.txt", "wb");
76
    $fwrite(outfile, "--- A ---  ---- B ----  - DUT Product -  - SIM Product -\n");
77
  end
78
        count <= count + 1;
79
        if (count > 24)
80
                count <= 1'd1;
81
        if (count==2) begin
82
                case (adr)
83
          1:
84
            begin
85
              a <= 64'd10;
86
              b <= 64'd10;
87
            end
88
          2:
89
            begin
90
              a <= 64'd21;
91
              b <= 64'd1700000;
92
            end
93
          3:
94
            begin
95
              a <= 64'd215000;
96
              b <= 64'd11;
97
            end
98
          default:
99
                begin
100
                                a[31:0] <= $urandom();
101
                                b[31:0] <= $urandom();
102
                                a[63:32] <= $urandom();
103
                                b[63:32] <= $urandom();
104
                        end
105
          endcase
106
        end
107
        if (count==24) begin
108
          $fwrite(outfile, "%h\t%h\t%h\t%h%c\n", a, b, o, p,p!=o ? "*":" ");
109
                adr <= adr + 1;
110
        end
111
end
112
 
113
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.