OpenCores
URL https://opencores.org/ocsvn/ftdi_wb_bridge/ftdi_wb_bridge/trunk

Subversion Repositories ftdi_wb_bridge

[/] [ftdi_wb_bridge/] [trunk/] [testbench/] [simulation.svh] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ultra_embe
`timescale 1ns/1ps
2
 
3
//-----------------------------------------------------------------
4
// assert_task
5
//-----------------------------------------------------------------
6
task automatic assert_task(input v, string file, int line, input string s);
7
begin
8
    if (!v)
9
    begin
10
        $display("ASSERT: %s:%0d - %s", file, line, s);
11
        $finish(1);
12
    end
13
end
14
endtask
15
 
16
//-----------------------------------------------------------------
17
// ASSERT
18
//-----------------------------------------------------------------
19
`define ASSERT(v)    assert_task(v, `__FILE__, `__LINE__, `"v`")
20
 
21
//-----------------------------------------------------------------
22
// CLOCK_GEN
23
//-----------------------------------------------------------------
24
`define CLOCK_GEN(NAME, CYCLE)     \
25
    reg ``NAME;      \
26
    initial \
27
    begin \
28
       ``NAME <= 0; \
29
       forever # (``CYCLE / 2) ``NAME = ~``NAME; \
30
    end
31
 
32
//-----------------------------------------------------------------
33
// RESET_GEN
34
//-----------------------------------------------------------------
35
`define RESET_GEN(NAME, DELAY)     \
36
    reg ``NAME;      \
37
    initial \
38
    begin \
39
       ``NAME <= 1; \
40
       # ``DELAY    \
41
       ``NAME <= 0; \
42
    end
43
 
44
//-----------------------------------------------------------------
45
// TB_VCD
46
//-----------------------------------------------------------------
47
`define TB_VCD(TOP, NAME)     \
48
    initial \
49
    begin \
50
       $dumpfile(``NAME);  \
51
       $dumpvars(0,``TOP); \
52
    end
53
 
54
//-----------------------------------------------------------------
55
// TB_RUN_FOR
56
//-----------------------------------------------------------------
57
`define TB_RUN_FOR(TIME)    initial #``TIME $finish;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.