OpenCores
URL https://opencores.org/ocsvn/fwrisc/fwrisc/trunk

Subversion Repositories fwrisc

[/] [fwrisc/] [trunk/] [synth/] [microsemi/] [constraints/] [fwrisc_fpga_top.pdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mballance
 
2
 
3
set_io clock \
4
  -pinname H16 \
5
  -fixed yes \
6
  -DIRECTION INPUT \
7
 
8
set_io led0 \
9
  -pinname N16 \
10
  -fixed yes \
11
  -DIRECTION OUTPUT
12
 
13
set_io led1 \
14
  -pinname M16 \
15
  -fixed yes \
16
  -DIRECTION OUTPUT
17
 
18
set_io d0_p \
19
  -pinname T12 \
20
  -fixed yes \
21
  -DIRECTION OUTPUT
22
 
23
set_io d0_n \
24
  -pinname R12 \
25
  -fixed yes \
26
  -DIRECTION OUTPUT
27
 
28
set_io clk_o \
29
  -pinname T8 \
30
  -fixed yes \
31
  -DIRECTION OUTPUT
32
 
33
set_io tx \
34
  -pinname T13 \
35
  -fixed yes \
36
  -DIRECTION OUTPUT
37
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.