OpenCores
URL https://opencores.org/ocsvn/fwrisc/fwrisc/trunk

Subversion Repositories fwrisc

[/] [fwrisc/] [trunk/] [synth/] [microsemi/] [constraints/] [fwrisc_fpga_top.sdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mballance
# Written by Synplify Pro version mapact, Build 2172R. Synopsys Run ID: sid1542848263
2
# Top Level Design Parameters
3
 
4
# Clocks
5
create_clock -period 10.000 -name {clock} [get_ports {clock}]
6
create_generated_clock -name {clock_4} -source [get_ports clock] -divide_by 4 [get_ports {clock_o}]
7
 
8
# Virtual Clocks
9
 
10
# Generated Clocks
11
 
12
# Paths Between Clocks
13
 
14
# Multicycle Constraints
15
 
16
# Point-to-point Delay Constraints
17
 
18
# False Path Constraints
19
 
20
# Output Load Constraints
21
 
22
# Driving Cell Constraints
23
 
24
# Input Delay Constraints
25
 
26
# Output Delay Constraints
27
 
28
# Wire Loads
29
 
30
# Other Constraints
31
 
32
# syn_hier Attributes
33
 
34
# set_case Attributes
35
 
36
# Clock Delay Constraints
37
 
38
# syn_mode Attributes
39
 
40
# Cells
41
 
42
# Port DRC Rules
43
 
44
# Input Transition Constraints
45
 
46
# Unused constraints (intentionally commented out)
47
 
48
# Non-forward-annotatable constraints (intentionally commented out)
49
 
50
# Block Path constraints
51
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.