OpenCores
URL https://opencores.org/ocsvn/fwrisc/fwrisc/trunk

Subversion Repositories fwrisc

[/] [fwrisc/] [trunk/] [ve/] [fwrisc_fpga/] [tb/] [fwrisc_fpga_tb_hdl.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mballance
/****************************************************************************
2
 * fwrisc_tb.sv
3
 ****************************************************************************/
4
 
5
/**
6
 * Module: fwrisc_fpga_tb_hdl
7
 *
8
 * TODO: Add module documentation
9
 */
10
module fwrisc_fpga_tb_hdl(input clock);
11
        wire                            led0, led1, clock_o;
12
        wire                            tx, d0_p, d0_n;
13
        reg                                     led0_r, led1_r;
14
 
15
        fwrisc_fpga_top u_dut (
16
                .clock  (clock ),
17
                .clk_o  (clock_o),
18
                .led0   (led0  ),
19
                .led1   (led1  ),
20
                .tx     (tx    ),
21
                .d0_p   (d0_p ),
22
                .d0_n   (d0_n ));
23
 
24
        import "DPI-C" context function void fwrisc_fpga_tb_led(
25
                        byte unsigned           led0,
26
                        byte unsigned           led1);
27
 
28
        always @(posedge clock) begin
29
                if (led0 != led0_r || led1 != led1_r) begin
30
                        $display("--> led(%0d %0d)", led0, led1);
31
                        fwrisc_fpga_tb_led(led0, led1);
32
                        led0_r <= led0;
33
                        led1_r <= led1;
34
                        $display("<-- led(%0d %0d)", led0, led1);
35
                end
36
        end
37
 
38
        bind fwrisc_tracer fwrisc_tracer_bfm u_tracer(
39
                        .clock(clock),
40
                        .reset(reset),
41
                        .addr(addr),
42
                        .instr(instr),
43
                        .ivalid(ivalid),
44
                        .raddr(raddr),
45
                        .rdata(rdata),
46
                        .rwrite(rwrite),
47
                        .maddr(maddr),
48
                        .mdata(mdata),
49
                        .mstrb(mstrb),
50
                        .mwrite(mwrite),
51
                        .mvalid(mvalid)
52
                );
53
 
54
endmodule
55
 
56
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.