OpenCores
URL https://opencores.org/ocsvn/g729a_codec/g729a_codec/trunk

Subversion Repositories g729a_codec

[/] [g729a_codec/] [trunk/] [VHDL/] [G729A_asip_romi_pkg.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
-----------------------------------------------------------------
2
--                                                             --
3
-----------------------------------------------------------------
4
--                                                             --
5
-- Copyright (C) 2013 Stefano Tonello                          --
6
--                                                             --
7
-- This source file may be used and distributed without        --
8
-- restriction provided that this copyright statement is not   --
9
-- removed from the file and that any derivative work contains --
10
-- the original copyright notice and the associated disclaimer.--
11
--                                                             --
12
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
--                                                             --
26
-----------------------------------------------------------------
27
 
28
---------------------------------------------------------------
29
-- G.729a ASIP Instruction ROM content
30
---------------------------------------------------------------
31
 
32
library IEEE;
33
use IEEE.std_logic_1164.all;
34
use IEEE.numeric_std.all;
35
 
36
library WORK;
37
use work.G729A_ASIP_PKG.all;
38
use work.G729A_ASIP_CFG_PKG.all;
39
 
40
package G729A_ASIP_ROMI_PKG is
41
 
42
--WIDTH=48;
43
--DEPTH=4608;
44
 
45
--ADDRESS_RADIX=UNS;
46
--DATA_RADIX=HEX;
47
 
48
--CONTENT BEGIN
49
 
50
  subtype ROMI_WORD_T is std_logic_vector(ILEN*2-1 downto 0);
51
 
52
  type ROMI_DATA_T is array (0 to IMEM_SIZE/2-1) of ROMI_WORD_T;
53
 
54
  constant ROMI_INIT_DATA : ROMI_DATA_T := (
55
 
56
    X"80f11b3ff007", --    0
57
    X"80f33b80f22b", --    1
58
    X"81f07a80f44b", --    2
59
    X"83f05a82f06a", --    3
60
    X"c000eac000b9", --    4
61
    X"84100a00301e", --    5
62
    X"122001111001", --    6
63
    X"81f01a8f24fb", --    7
64
    X"83f03a82f02a", --    8
65
    X"1ff00784f04a", --    9
66
    X"3ff00a00e01a", --   10
67
    X"80f12b80f01b", --   11
68
    X"80f34b80f23b", --   12
69
    X"80f56b80f45b", --   13
70
    X"80000a80f0aa", --   14
71
    X"80100b81f07a", --   15
72
    X"c002a980f09a", --   16
73
    X"81f08ac0031a", --   17
74
    X"81f0aa00101e", --   18
75
    X"82f07a111001", --   19
76
    X"130000122001", --   20
77
    X"11100184100a", --   21
78
    X"04400904400b", --   22
79
    X"12200180240b", --   23
80
    X"00400904030b", --   24
81
    X"04400b84100a", --   25
82
    X"80240b044009", --   26
83
    X"81f02a80f01a", --   27
84
    X"83f04a82f03a", --   28
85
    X"85f06a84f05a", --   29
86
    X"00e01a1ff00a", --   30
87
    X"80f01b3ff00e", --   31
88
    X"80f23b80f12b", --   32
89
    X"80f45b80f34b", --   33
90
    X"80f67b80f56b", --   34
91
    X"80f89b80f78b", --   35
92
    X"80fabb80f9ab", --   36
93
    X"d10028d00000", --   37
94
    X"88f0ca82f0da", --   38
95
    X"86200a83f0ea", --   39
96
    X"13300187300a", --   40
97
    X"04670bc00589", --   41
98
    X"c0005bc005fa", --   42
99
    X"000024004023", --   43
100
    X"862ffa87300a", --   44
101
    X"8a2fea89301a", --   45
102
    X"322002133002", --   46
103
    X"049a13047613", --   47
104
    X"12200a100001", --   48
105
    X"044009844031", --   49
106
    X"122001188001", --   50
107
    X"8e01798f84fb", --   51
108
    X"81f02a80f01a", --   52
109
    X"83f04a82f03a", --   53
110
    X"85f06a84f05a", --   54
111
    X"87f08a86f07a", --   55
112
    X"89f0aa88f09a", --   56
113
    X"1ff00e8af0ba", --   57
114
    X"3ff07500e01a", --   58
115
    X"80f12b80f01b", --   59
116
    X"80f34b80f23b", --   60
117
    X"80f56b80f45b", --   61
118
    X"80f78b80f67b", --   62
119
    X"80f9ab80f89b", --   63
120
    X"80f71a80fabb", --   64
121
    X"c0086911f00c", --   65
122
    X"c000abc0089a", --   66
123
    X"10000182000a", --   67
124
    X"8f12fb111001", --   68
125
    X"84f72a80f74a", --   69
126
    X"11f00c040402", --   70
127
    X"81f75a15100a", --   71
128
    X"83100a82000a", --   72
129
    X"c009a9385001", --   73
130
    X"c00a1a06230b", --   74
131
    X"c0005b111001", --   75
132
    X"000024006023", --   76
133
    X"83100a82800a", --   77
134
    X"8a101a898ffa", --   78
135
    X"111002388002", --   79
136
    X"069a14062314", --   80
137
    X"066009866031", --   81
138
    X"80560b100001", --   82
139
    X"8e0489155001", --   83
140
    X"10000a10f00c", --   84
141
    X"c00af981f73a", --   85
142
    X"84f72ac00b2a", --   86
143
    X"83000a00401e", --   87
144
    X"111001100001", --   88
145
    X"80f70a8f13fb", --   89
146
    X"8001c8d10000", --   90
147
    X"00040280f73a", --   91
148
    X"81f71a30000a", --   92
149
    X"c00c0ac00bd9", --   93
150
    X"83000ac000ab", --   94
151
    X"111001100001", --   95
152
    X"80f01a8f13fb", --   96
153
    X"82f03a81f02a", --   97
154
    X"84f05a83f04a", --   98
155
    X"86f07a85f06a", --   99
156
    X"88f09a87f08a", --  100
157
    X"8af0ba89f0aa", --  101
158
    X"00e01a1ff075", --  102
159
    X"3ff00e00001d", --  103
160
    X"80f12b80f01b", --  104
161
    X"80f34b80f23b", --  105
162
    X"80f56b80f45b", --  106
163
    X"80f78b80f67b", --  107
164
    X"81f0ea80f89b", --  108
165
    X"d8000082f0da", --  109
166
    X"c02144c01154", --  110
167
    X"c00122001206", --  111
168
    X"d87fff801239", --  112
169
    X"641001c00f18", --  113
170
    X"662001844013", --  114
171
    X"c00eb9866013", --  115
172
    X"c000fbc00f0a", --  116
173
    X"888010844011", --  117
174
    X"c02035024607", --  118
175
    X"188001044607", --  119
176
    X"80f8cb844011", --  120
177
    X"81f02a80f01a", --  121
178
    X"83f04a82f03a", --  122
179
    X"85f06a84f05a", --  123
180
    X"87f08a86f07a", --  124
181
    X"1ff00e88f09a", --  125
182
    X"3ff02e00e01a", --  126
183
    X"80f12b80f01b", --  127
184
    X"80f34b80f23b", --  128
185
    X"80f56b80f45b", --  129
186
    X"80f78b80f67b", --  130
187
    X"80f9ab80f89b", --  131
188
    X"80fecb80fabb", --  132
189
    X"c0189ac010e9", --  133
190
    X"d60000c0002b", --  134
191
    X"80f00b80f2ea", --  135
192
    X"8ff0fb10f017", --  136
193
    X"d20004d01485", --  137
194
    X"02260c72200a", --  138
195
    X"8ff0eb000202", --  139
196
    X"8ff0dbd00303", --  140
197
    X"72600ad014e9", --  141
198
    X"8ff0cb000202", --  142
199
    X"10f017be01ce", --  143
200
    X"d00e4580f00b", --  144
201
    X"be02058ff0fb", --  145
202
    X"10f00d88ffea", --  146
203
    X"80080b000602", --  147
204
    X"80f00b10f017", --  148
205
    X"da0e4570800a", --  149
206
    X"8ff0fb000a02", --  150
207
    X"8ff0eb80f2da", --  151
208
    X"8ff0dbd01345", --  152
209
    X"87ffcabe023c", --  153
210
    X"00060210f00f", --  154
211
    X"10f02180070b", --  155
212
    X"da0e4571800a", --  156
213
    X"72700a011a02", --  157
214
    X"022a02da1345", --  158
215
    X"84100a170005", --  159
216
    X"03450285200a", --  160
217
    X"10000180030b", --  161
218
    X"122001111001", --  162
219
    X"10f0218f0799", --  163
220
    X"be028380f00b", --  164
221
    X"80f00b10f017", --  165
222
    X"da0e4570800a", --  166
223
    X"8ff0fb000a02", --  167
224
    X"8ff0eb80f2da", --  168
225
    X"8ff0dbd01345", --  169
226
    X"87ffcabe029f", --  170
227
    X"00060210f011", --  171
228
    X"10f02180070b", --  172
229
    X"71800a100005", --  173
230
    X"011a02da0e45", --  174
231
    X"72700a111005", --  175
232
    X"022a02da1345", --  176
233
    X"170005122005", --  177
234
    X"85200a84100a", --  178
235
    X"80030b034502", --  179
236
    X"111001100001", --  180
237
    X"8f0799122001", --  181
238
    X"80f00b10f021", --  182
239
    X"10f021be02e9", --  183
240
    X"d0000580f00b", --  184
241
    X"be03068ff0fb", --  185
242
    X"80f00b80f2da", --  186
243
    X"8ff0fb10f021", --  187
244
    X"8ff0cb10f017", --  188
245
    X"72600ad014d5", --  189
246
    X"8ff0bb000202", --  190
247
    X"87ffdabe0325", --  191
248
    X"72600210f013", --  192
249
    X"80070b000202", --  193
250
    X"10f01387ffea", --  194
251
    X"000202726002", --  195
252
    X"16600180071b", --  196
253
    X"10f013d60000", --  197
254
    X"83001a82000a", --  198
255
    X"85003a84002a", --  199
256
    X"c02027024207", --  200
257
    X"806070d60001", --  201
258
    X"01160211f00d", --  202
259
    X"00010281100a", --  203
260
    X"80200b82f2ba", --  204
261
    X"01160211f00f", --  205
262
    X"80105081100a", --  206
263
    X"01160211f011", --  207
264
    X"00010281100a", --  208
265
    X"80201b82f2ba", --  209
266
    X"00060210f00d", --  210
267
    X"80f00b80000a", --  211
268
    X"00060210f00f", --  212
269
    X"8ff0fb80000a", --  213
270
    X"00060210f011", --  214
271
    X"8ff0eb80000a", --  215
272
    X"d10004d01485", --  216
273
    X"01160c71100a", --  217
274
    X"8ff0db000102", --  218
275
    X"8ff0cbd00303", --  219
276
    X"8ff0bb80f2ca", --  220
277
    X"d1000ad014d5", --  221
278
    X"00010201160c", --  222
279
    X"be03548ff0ab", --  223
280
    X"81f02a80f01a", --  224
281
    X"83f04a82f03a", --  225
282
    X"85f06a84f05a", --  226
283
    X"87f08a86f07a", --  227
284
    X"89f0aa88f09a", --  228
285
    X"8ef0ca8af0ba", --  229
286
    X"00e01a1ff02e", --  230
287
    X"80f01b3ff00f", --  231
288
    X"80f23b80f12b", --  232
289
    X"80f45b80f34b", --  233
290
    X"80f67b80f56b", --  234
291
    X"80f89b80f78b", --  235
292
    X"d0000080f9ab", --  236
293
    X"03100281f0fa", --  237
294
    X"d2000083300a", --  238
295
    X"84f0ca002023", --  239
296
    X"d6000085f0da", --  240
297
    X"07700277600a", --  241
298
    X"88700a077402", --  242
299
    X"07700277600a", --  243
300
    X"89700a077502", --  244
301
    X"166001028914", --  245
302
    X"cf7f54376004", --  246
303
    X"07700287f0ba", --  247
304
    X"02370b87700a", --  248
305
    X"87f0ea822031", --  249
306
    X"80730b077002", --  250
307
    X"d7000a100001", --  251
308
    X"80f01a8e0739", --  252
309
    X"82f03a81f02a", --  253
310
    X"84f05a83f04a", --  254
311
    X"86f07a85f06a", --  255
312
    X"88f09a87f08a", --  256
313
    X"1ff00f89f0aa", --  257
314
    X"3ff00e00e01a", --  258
315
    X"80f12b80f01b", --  259
316
    X"80f34b80f23b", --  260
317
    X"80f56b80f45b", --  261
318
    X"80f78b80f67b", --  262
319
    X"80f9ab80f89b", --  263
320
    X"d8000080fabb", --  264
321
    X"d77fffd6ffff", --  265
322
    X"d9008082f0da", --  266
323
    X"d40000da0000", --  267
324
    X"004023d50000", --  268
325
    X"c0227ac021e9", --  269
326
    X"80f0eac0005b", --  270
327
    X"81200a83000a", --  271
328
    X"8c201a8b001a", --  272
329
    X"122002100002", --  273
330
    X"0bbc06013106", --  274
331
    X"04bb13041113", --  275
332
    X"c00037004607", --  276
333
    X"18a000264000", --  277
334
    X"8ea9a91aa001", --  278
335
    X"80f01a80f8cb", --  279
336
    X"82f03a81f02a", --  280
337
    X"84f05a83f04a", --  281
338
    X"86f07a85f06a", --  282
339
    X"88f09a87f08a", --  283
340
    X"8af0ba89f0aa", --  284
341
    X"00e01a1ff00e", --  285
342
    X"80f01b3ff01b", --  286
343
    X"80f23b80f12b", --  287
344
    X"80f45b80f34b", --  288
345
    X"80f67b80f56b", --  289
346
    X"80f89b80f78b", --  290
347
    X"80fabb80f9ab", --  291
348
    X"10f00d80fbcb", --  292
349
    X"82f1aa81f1ba", --  293
350
    X"84100a130005", --  294
351
    X"06450685200a", --  295
352
    X"10000180060b", --  296
353
    X"122001111001", --  297
354
    X"d6ffff8f0399", --  298
355
    X"82f18ad77fff", --  299
356
    X"d0002089f18a", --  300
357
    X"09900270000a", --  301
358
    X"c02659da0000", --  302
359
    X"10f00dc026da", --  303
360
    X"d4000088f19a", --  304
361
    X"004023d50000", --  305
362
    X"83000ac0005b", --  306
363
    X"8b800a81200a", --  307
364
    X"013106100001", --  308
365
    X"12200103b10a", --  309
366
    X"043113188001", --  310
367
    X"c00037004607", --  311
368
    X"81fa7b264000", --  312
369
    X"1220051aa001", --  313
370
    X"80f01a8e29b9", --  314
371
    X"82f03a81f02a", --  315
372
    X"84f05a83f04a", --  316
373
    X"86f07a85f06a", --  317
374
    X"88f09a87f08a", --  318
375
    X"8af0ba89f0aa", --  319
376
    X"1ff01b8bf0ca", --  320
377
    X"3ff00600e01a", --  321
378
    X"80f12b80f01b", --  322
379
    X"80f34b80f23b", --  323
380
    X"80f06a80f45b", --  324
381
    X"311001110005", --  325
382
    X"83001a82000a", --  326
383
    X"14400a042306", --  327
384
    X"c04050844012", --  328
385
    X"80020b022406", --  329
386
    X"80031b033402", --  330
387
    X"8f0159100001", --  331
388
    X"81f02a80f01a", --  332
389
    X"83f04a82f03a", --  333
390
    X"1ff00684f05a", --  334
391
    X"3ff01b00e01a", --  335
392
    X"80f12b80f01b", --  336
393
    X"80f34b80f23b", --  337
394
    X"80f56b80f45b", --  338
395
    X"80f78b80f67b", --  339
396
    X"80f9ab80f89b", --  340
397
    X"80fbcb80fabb", --  341
398
    X"10000510f00d", --  342
399
    X"11100581f1ba", --  343
400
    X"12200582f1aa", --  344
401
    X"84100a130005", --  345
402
    X"85200a111001", --  346
403
    X"064506122001", --  347
404
    X"10000180060b", --  348
405
    X"d6ffff8f0399", --  349
406
    X"82f18ad77fff", --  350
407
    X"d90020122005", --  351
408
    X"d40000da0000", --  352
409
    X"004023d50000", --  353
410
    X"c02d3ac02cb9", --  354
411
    X"10f00dc0005b", --  355
412
    X"88f19a100005", --  356
413
    X"83000a188005", --  357
414
    X"8b800a81200a", --  358
415
    X"013106100001", --  359
416
    X"188001122001", --  360
417
    X"04311303b10a", --  361
418
    X"c00037004607", --  362
419
    X"81fa7b264000", --  363
420
    X"1220051aa001", --  364
421
    X"80f01a8ea979", --  365
422
    X"82f03a81f02a", --  366
423
    X"84f05a83f04a", --  367
424
    X"86f07a85f06a", --  368
425
    X"88f09a87f08a", --  369
426
    X"8af0ba89f0aa", --  370
427
    X"1ff01b8bf0ca", --  371
428
    X"3ff00600e01a", --  372
429
    X"80f12b80f01b", --  373
430
    X"80f34b80f23b", --  374
431
    X"80f06a80f45b", --  375
432
    X"300001100005", --  376
433
    X"82000a110005", --  377
434
    X"04230683001a", --  378
435
    X"84401214400a", --  379
436
    X"022406c04050", --  380
437
    X"03340280020b", --  381
438
    X"10000180031b", --  382
439
    X"80f01a8f0159", --  383
440
    X"82f03a81f02a", --  384
441
    X"84f05a83f04a", --  385
442
    X"00e01a1ff006", --  386
443
    X"80f01b3ff008", --  387
444
    X"80f23b80f12b", --  388
445
    X"80f45b80f34b", --  389
446
    X"80f08a80f56b", --  390
447
    X"31100111000a", --  391
448
    X"82000a85f07a", --  392
449
    X"04230683001a", --  393
450
    X"844012044502", --  394
451
    X"022406c04050", --  395
452
    X"03340280020b", --  396
453
    X"10000180031b", --  397
454
    X"80f01a8f0159", --  398
455
    X"82f03a81f02a", --  399
456
    X"84f05a83f04a", --  400
457
    X"1ff00885f06a", --  401
458
    X"3ff01000e01a", --  402
459
    X"80f12b80f01b", --  403
460
    X"80f34b80f23b", --  404
461
    X"80f56b80f45b", --  405
462
    X"80f78b80f67b", --  406
463
    X"80f9ab80f89b", --  407
464
    X"d90000d80000", --  408
465
    X"81f0ca80f0fa", --  409
466
    X"83f10a82f0ba", --  410
467
    X"85000a14000a", --  411
468
    X"05560686100a", --  412
469
    X"05560a86200a", --  413
470
    X"06650b86300a", --  414
471
    X"06750b866041", --  415
472
    X"100001088603", --  416
473
    X"122001111001", --  417
474
    X"8f0429133001", --  418
475
    X"80f9eb80f8db", --  419
476
    X"81f02a80f01a", --  420
477
    X"83f04a82f03a", --  421
478
    X"85f06a84f05a", --  422
479
    X"87f08a86f07a", --  423
480
    X"89f0aa88f09a", --  424
481
    X"00e01a1ff010", --  425
482
    X"80f01b3ff01d", --  426
483
    X"80f23b80f12b", --  427
484
    X"80f45b80f34b", --  428
485
    X"80f67b80f56b", --  429
486
    X"80f89b80f78b", --  430
487
    X"80fabb80f9ab", --  431
488
    X"10f00d80fecb", --  432
489
    X"82f1da110005", --  433
490
    X"da0e4572200a", --  434
491
    X"83f1ca022a02", --  435
492
    X"da134573300a", --  436
493
    X"84200a033a02", --  437
494
    X"85300a122001", --  438
495
    X"044502133001", --  439
496
    X"10000180040b", --  440
497
    X"10f00d8f0199", --  441
498
    X"110005100005", --  442
499
    X"72200a82f1da", --  443
500
    X"022a02da0e45", --  444
501
    X"83f1ba122005", --  445
502
    X"da134573300a", --  446
503
    X"133005033a02", --  447
504
    X"12200184200a", --  448
505
    X"13300185300a", --  449
506
    X"80040b044502", --  450
507
    X"8f0199100001", --  451
508
    X"80f00b10f00d", --  452
509
    X"8ff0fbd0000a", --  453
510
    X"10f00dbe0306", --  454
511
    X"d0000580f00b", --  455
512
    X"be03068ff0fb", --  456
513
    X"80f00b10f00d", --  457
514
    X"8ff0fb80f18a", --  458
515
    X"8ff0eb80f1aa", --  459
516
    X"8ff0db80f19a", --  460
517
    X"8ff0cb80f17a", --  461
518
    X"10f00dbe03b3", --  462
519
    X"80f19a80f00b", --  463
520
    X"be03e98ff0fb", --  464
521
    X"80f00b80f18a", --  465
522
    X"80f01abe040c", --  466
523
    X"82f03a81f02a", --  467
524
    X"84f05a83f04a", --  468
525
    X"86f07a85f06a", --  469
526
    X"88f09a87f08a", --  470
527
    X"8af0ba89f0aa", --  471
528
    X"1ff01d8ef0ca", --  472
529
    X"3ff00f00e01a", --  473
530
    X"80f12b80f01b", --  474
531
    X"80f34b80f23b", --  475
532
    X"80f56b80f45b", --  476
533
    X"80f78b80f67b", --  477
534
    X"80f9ab80f89b", --  478
535
    X"80f0fa89f0ea", --  479
536
    X"82f0ba81f0ba", --  480
537
    X"d8000012200a", --  481
538
    X"10000183000a", --  482
539
    X"11100186100a", --  483
540
    X"00402304360b", --  484
541
    X"03380283f0ca", --  485
542
    X"06680286f0da", --  486
543
    X"d4000a87f0da", --  487
544
    X"077402744004", --  488
545
    X"84300a077802", --  489
546
    X"04451385600a", --  490
547
    X"16600a13300a", --  491
548
    X"80950b8f67b9", --  492
549
    X"188001199001", --  493
550
    X"80f01a8e1289", --  494
551
    X"82f03a81f02a", --  495
552
    X"84f05a83f04a", --  496
553
    X"86f07a85f06a", --  497
554
    X"88f09a87f08a", --  498
555
    X"1ff00f89f0aa", --  499
556
    X"3ff00500e01a", --  500
557
    X"80f12b80f01b", --  501
558
    X"80f04a80f23b", --  502
559
    X"71100ad10004", --  503
560
    X"000102311001", --  504
561
    X"31100a82f04a", --  505
562
    X"111001022102", --  506
563
    X"c03fcac03f99", --  507
564
    X"81200a00101e", --  508
565
    X"80010b322001", --  509
566
    X"c04039300001", --  510
567
    X"c000abc0406a", --  511
568
    X"12200a82f05a", --  512
569
    X"81200a322001", --  513
570
    X"80010b322001", --  514
571
    X"80f01a300001", --  515
572
    X"82f03a81f02a", --  516
573
    X"00e01a1ff005", --  517
574
    X"80f01b3ff007", --  518
575
    X"80f23b80f12b", --  519
576
    X"80f45b80f34b", --  520
577
    X"80f07a80f56b", --  521
578
    X"111fff11000a", --  522
579
    X"62200182000a", --  523
580
    X"84001a822013", --  524
581
    X"844013644001", --  525
582
    X"c06057064207", --  526
583
    X"84001a82000a", --  527
584
    X"80040b80021b", --  528
585
    X"8f0139100001", --  529
586
    X"81000a80f07a", --  530
587
    X"c01036311028", --  531
588
    X"80010bd10028", --  532
589
    X"111fff11000a", --  533
590
    X"62200182000a", --  534
591
    X"84001a822013", --  535
592
    X"844013644001", --  536
593
    X"466141064207", --  537
594
    X"82000ac06047", --  538
595
    X"80021b122141", --  539
596
    X"8f0139100001", --  540
597
    X"d2645181000a", --  541
598
    X"c01030011206", --  542
599
    X"80010bd16451", --  543
600
    X"81f02a80f01a", --  544
601
    X"83f04a82f03a", --  545
602
    X"85f06a84f05a", --  546
603
    X"00e01a1ff007", --  547
604
    X"80f01b3ff014", --  548
605
    X"80f23b80f12b", --  549
606
    X"80f45b80f34b", --  550
607
    X"80fe7b80f56b", --  551
608
    X"81f13a80f14a", --  552
609
    X"c0456912f008", --  553
610
    X"c000abc045ea", --  554
611
    X"10000183000a", --  555
612
    X"84100a833012", --  556
613
    X"844012111001", --  557
614
    X"80250b054302", --  558
615
    X"12f008122001", --  559
616
    X"80f12a80f20b", --  560
617
    X"be04748ff0fb", --  561
618
    X"80f00b80f13a", --  562
619
    X"10000a80f12a", --  563
620
    X"8ff0fb100001", --  564
621
    X"80f01abe0474", --  565
622
    X"82f03a81f02a", --  566
623
    X"84f05a83f04a", --  567
624
    X"8ef07a85f06a", --  568
625
    X"00e01a1ff014", --  569
626
    X"80f01b3ff025", --  570
627
    X"80f23b80f12b", --  571
628
    X"80f45b80f34b", --  572
629
    X"80f67b80f56b", --  573
630
    X"80f89b80f78b", --  574
631
    X"80febb80f9ab", --  575
632
    X"80f00b80f25a", --  576
633
    X"8ff0fb10f00c", --  577
634
    X"80f25abe04d6", --  578
635
    X"80f00b100001", --  579
636
    X"8ff0fb10f018", --  580
637
    X"c04939be04d6", --  581
638
    X"c0005bc04a2a", --  582
639
    X"11f00cd00005", --  583
640
    X"12f01811100a", --  584
641
    X"84100a12200a", --  585
642
    X"861fea85101a", --  586
643
    X"044603871ffa", --  587
644
    X"80151b80140b", --  588
645
    X"85201a84200a", --  589
646
    X"872ffa862fea", --  590
647
    X"80240b044607", --  591
648
    X"31100280251b", --  592
649
    X"d01000322002", --  593
650
    X"80100b81f24a", --  594
651
    X"c04c8ac04b19", --  595
652
    X"80f24ac0005b", --  596
653
    X"11f00c100001", --  597
654
    X"12f018111002", --  598
655
    X"83f24a122002", --  599
656
    X"84100a13300a", --  600
657
    X"86200a85101a", --  601
658
    X"08460387201a", --  602
659
    X"8ff8fb80f90b", --  603
660
    X"8ff9ebd9000d", --  604
661
    X"88ffcabe0528", --  605
662
    X"08460780080b", --  606
663
    X"8ff8fb80f90b", --  607
664
    X"8ff9ebd9000d", --  608
665
    X"88ffcabe0528", --  609
666
    X"10000180380b", --  610
667
    X"122002111002", --  611
668
    X"80f01a333001", --  612
669
    X"82f03a81f02a", --  613
670
    X"84f05a83f04a", --  614
671
    X"86f07a85f06a", --  615
672
    X"88f09a87f08a", --  616
673
    X"8ef0ba89f0aa", --  617
674
    X"00e01a1ff025", --  618
675
    X"80f01b3ff00c", --  619
676
    X"80f23b80f12b", --  620
677
    X"80f45b80f34b", --  621
678
    X"80f67b80f56b", --  622
679
    X"80f89b80f78b", --  623
680
    X"82f0ba80f9ab", --  624
681
    X"d10800d01000", --  625
682
    X"80200b00010b", --  626
683
    X"22200280211b", --  627
684
    X"d0000083f0ca", --  628
685
    X"000023d10000", --  629
686
    X"d1020080300a", --  630
687
    X"80200b000114", --  631
688
    X"12200280211b", --  632
689
    X"c04f79133002", --  633
690
    X"c0004bc051ba", --  634
691
    X"802fcad80002", --  635
692
    X"80200b812fda", --  636
693
    X"20000080211b", --  637
694
    X"802fead90001", --  638
695
    X"000008812ffa", --  639
696
    X"04061786300a", --  640
697
    X"80200a844011", --  641
698
    X"20000081201a", --  642
699
    X"872fda862fca", --  643
700
    X"000603266000", --  644
701
    X"80200b000407", --  645
702
    X"32200280211b", --  646
703
    X"8e98e9199001", --  647
704
    X"81201a80200a", --  648
705
    X"86300a000023", --  649
706
    X"006714d70200", --  650
707
    X"80211b80200b", --  651
708
    X"022802022802", --  652
709
    X"188001133002", --  653
710
    X"81f02a80f01a", --  654
711
    X"83f04a82f03a", --  655
712
    X"85f06a84f05a", --  656
713
    X"87f08a86f07a", --  657
714
    X"89f0aa88f09a", --  658
715
    X"00e01a1ff00c", --  659
716
    X"80f01b3ff00d", --  660
717
    X"80f23b80f12b", --  661
718
    X"80f45b80f34b", --  662
719
    X"80f67b80f56b", --  663
720
    X"80f0ba80f78b", --  664
721
    X"c0004430001f", --  665
722
    X"d10000d00000", --  666
723
    X"80f0cac05468", --  667
724
    X"82f0ba81f0da", --  668
725
    X"c020b0000210", --  669
726
    X"87f0da86f0ca", --  670
727
    X"d50000d40001", --  671
728
    X"04420e322001", --  672
729
    X"077518066418", --  673
730
    X"200001c06021", --  674
731
    X"80f1ab80f09b", --  675
732
    X"81f02a80f01a", --  676
733
    X"83f04a82f03a", --  677
734
    X"85f06a84f05a", --  678
735
    X"87f08a86f07a", --  679
736
    X"00e01a1ff00d", --  680
737
    X"80f01b3ff00f", --  681
738
    X"80f23b80f12b", --  682
739
    X"80f45b80f34b", --  683
740
    X"80f67b80f56b", --  684
741
    X"80f89b80f78b", --  685
742
    X"80fabb80f9ab", --  686
743
    X"81f0ea80fbcb", --  687
744
    X"00010680f0fa", --  688
745
    X"0bb0048bf0da", --  689
746
    X"1bb003c0b036", --  690
747
    X"89f0fa300001", --  691
748
    X"1aa0288af0fa", --  692
749
    X"100001110000", --  693
750
    X"120000d414fd", --  694
751
    X"d514fd044b02", --  695
752
    X"155003d60000", --  696
753
    X"055b06d70000", --  697
754
    X"c05789006023", --  698
755
    X"c000abc0581a", --  699
756
    X"87400a86100a", --  700
757
    X"88500a83200a", --  701
758
    X"122001066713", --  702
759
    X"155003144003", --  703
760
    X"311001063813", --  704
761
    X"80960b066009", --  705
762
    X"8e9a59199001", --  706
763
    X"81f02a80f01a", --  707
764
    X"83f04a82f03a", --  708
765
    X"85f06a84f05a", --  709
766
    X"87f08a86f07a", --  710
767
    X"89f0aa88f09a", --  711
768
    X"8bf0ca8af0ba", --  712
769
    X"00e01a1ff00f", --  713
770
    X"80f01b3ff00c", --  714
771
    X"80f23b80f12b", --  715
772
    X"80f45b80f34b", --  716
773
    X"80f67b80f56b", --  717
774
    X"80f89b80f78b", --  718
775
    X"80f0ca80f9ab", --  719
776
    X"11000a88f0ba", --  720
777
    X"d9517d82000a", --  721
778
    X"83208202290a", --  722
779
    X"042418d400ff", --  723
780
    X"c0502035303f", --  724
781
    X"d20dc5d3003f", --  725
782
    X"82200a022302", --  726
783
    X"8660d306240b", --  727
784
    X"022302d20d85", --  728
785
    X"05260282200a", --  729
786
    X"10000180850b", --  730
787
    X"8e01b9188001", --  731
788
    X"81f02a80f01a", --  732
789
    X"83f04a82f03a", --  733
790
    X"85f06a84f05a", --  734
791
    X"87f08a86f07a", --  735
792
    X"89f0aa88f09a", --  736
793
    X"00e01a1ff00c", --  737
794
    X"80f01b3ff00f", --  738
795
    X"80f23b80f12b", --  739
796
    X"80fe9b80f34b", --  740
797
    X"d10000d00000", --  741
798
    X"c05d19000023", --  742
799
    X"c0028bc05d3a", --  743
800
    X"80200a82f0ea", --  744
801
    X"000013122001", --  745
802
    X"8ff0fb80f10b", --  746
803
    X"80ffdabe05ff", --  747
804
    X"d29fac81ffea", --  748
805
    X"000023000217", --  749
806
    X"902020d27f4c", --  750
807
    X"0000238000a1", --  751
808
    X"c05e9ac05e59", --  752
809
    X"d2151cc0004b", --  753
810
    X"80200a83f0fa", --  754
811
    X"81300a122001", --  755
812
    X"000113133001", --  756
813
    X"d0153f80f1db", --  757
814
    X"80008300100b", --  758
815
    X"d2000e000008", --  759
816
    X"8ff0fb80f20b", --  760
817
    X"82ffdabe062f", --  761
818
    X"31100e80f2db", --  762
819
    X"80f1cb011004", --  763
820
    X"81f02a80f01a", --  764
821
    X"83f04a82f03a", --  765
822
    X"1ff00f8ef09a", --  766
823
    X"3ff00a00e01a", --  767
824
    X"80f12b80f01b", --  768
825
    X"80f34b80f23b", --  769
826
    X"80f56b80f45b", --  770
827
    X"81f0aa80f09a", --  771
828
    X"d00000c00053", --  772
829
    X"80f07b80f08b", --  773
830
    X"020012c06278", --  774
831
    X"32201e00020e", --  775
832
    X"80f28b022004", --  776
833
    X"121000800093", --  777
834
    X"130000800013", --  778
835
    X"033418d47fff", --  779
836
    X"d00000322020", --  780
837
    X"011202d115cc", --  781
838
    X"d415cc81100a", --  782
839
    X"84400a044202", --  783
840
    X"055202d515cc", --  784
841
    X"04450685501a", --  785
842
    X"004314000023", --  786
843
    X"80f01a80f17b", --  787
844
    X"82f03a81f02a", --  788
845
    X"84f05a83f04a", --  789
846
    X"1ff00a85f06a", --  790
847
    X"3ff00b00e01a", --  791
848
    X"80f12b80f01b", --  792
849
    X"80f34b80f23b", --  793
850
    X"80f56b80f45b", --  794
851
    X"80f0aa80fe7b", --  795
852
    X"121000600020", --  796
853
    X"130000800013", --  797
854
    X"033418d47fff", --  798
855
    X"d115abd00000", --  799
856
    X"81100a011202", --  800
857
    X"d515ab141000", --  801
858
    X"85501a055202", --  802
859
    X"000023044506", --  803
860
    X"84f0ba004314", --  804
861
    X"04400434401e", --  805
862
    X"8ff0fb80f10b", --  806
863
    X"be065d8ff4eb", --  807
864
    X"80ffca81ffda", --  808
865
    X"80f08b80f19b", --  809
866
    X"81f02a80f01a", --  810
867
    X"83f04a82f03a", --  811
868
    X"85f06a84f05a", --  812
869
    X"1ff00b8ef07a", --  813
870
    X"3ff00b00e01a", --  814
871
    X"80f12b80f01b", --  815
872
    X"80f34b80f23b", --  816
873
    X"80f56b80f45b", --  817
874
    X"11000080f09a", --  818
875
    X"c0104031101f", --  819
876
    X"d50000d40000", --  820
877
    X"82f0aac06758", --  821
878
    X"04201083f0ba", --  822
879
    X"300001c00070", --  823
880
    X"d10001022010", --  824
881
    X"c02020022118", --  825
882
    X"80f47b244001", --  826
883
    X"80f01a80f58b", --  827
884
    X"82f03a81f02a", --  828
885
    X"84f05a83f04a", --  829
886
    X"1ff00b85f06a", --  830
887
    X"3ff00800e01a", --  831
888
    X"80f12b80f01b", --  832
889
    X"80f34b80f23b", --  833
890
    X"80f08a80fe5b", --  834
891
    X"80013b81002a", --  835
892
    X"80012b81001a", --  836
893
    X"80011b81000a", --  837
894
    X"80f00b80f07a", --  838
895
    X"8ff0fb80f06a", --  839
896
    X"81ffeabe05ff", --  840
897
    X"31100d80ffda", --  841
898
    X"d20000131000", --  842
899
    X"920001002023", --  843
900
    X"d260548220d1", --  844
901
    X"80f08a03320a", --  845
902
    X"80f01a80030b", --  846
903
    X"82f03a81f02a", --  847
904
    X"8ef05a83f04a", --  848
905
    X"00e01a1ff008", --  849
906
    X"80f01b3ff00d", --  850
907
    X"80f23b80f12b", --  851
908
    X"80f45b80f34b", --  852
909
    X"80f67b80f56b", --  853
910
    X"80f89b80f78b", --  854
911
    X"80200a12f00c", --  855
912
    X"d47fff81201a", --  856
913
    X"c00215d53fff", --  857
914
    X"00020e020012", --  858
915
    X"02200432201e", --  859
916
    X"032318d30001", --  860
917
    X"800013c03022", --  861
918
    X"122001822012", --  862
919
    X"131000800093", --  863
920
    X"160000800013", --  864
921
    X"066018d07fff", --  865
922
    X"d015ed333010", --  866
923
    X"81000a000302", --  867
924
    X"d715edd00000", --  868
925
    X"177001077302", --  869
926
    X"07170687700a", --  870
927
    X"007614000023", --  871
928
    X"12f00a000210", --  872
929
    X"80211b80200b", --  873
930
    X"81f02a80f01a", --  874
931
    X"83f04a82f03a", --  875
932
    X"85f06a84f05a", --  876
933
    X"87f08a86f07a", --  877
934
    X"1ff00d88f09a", --  878
935
    X"3ff00200e01a", --  879
936
    X"80f12b80f01b", --  880
937
    X"d10000d0047c", --  881
938
    X"80011b80010b", --  882
939
    X"80013b80012b", --  883
940
    X"80015b80014b", --  884
941
    X"81f02a80f01a", --  885
942
    X"00e01a1ff002", --  886
943
    X"80f01b3ff00f", --  887
944
    X"80f23b80f12b", --  888
945
    X"80f45b80f34b", --  889
946
    X"80f67b80f56b", --  890
947
    X"80f89b80f78b", --  891
948
    X"80fabb80f9ab", --  892
949
    X"80fcdb80fbcb", --  893
950
    X"d6047c80fdeb", --  894
951
    X"81601a80600a", --  895
952
    X"83603a82602a", --  896
953
    X"85605a84604a", --  897
954
    X"c0708989f0fa", --  898
955
    X"c0050bc071da", --  899
956
    X"d83ddc86900a", --  900
957
    X"d8e20d0a2817", --  901
958
    X"0aac030c4817", --  902
959
    X"d81e1300a023", --  903
960
    X"d8c3da0a6813", --  904
961
    X"d81e130a0813", --  905
962
    X"8aa0210a1813", --  906
963
    X"106000110000", --  907
964
    X"06600986a011", --  908
965
    X"19900180960b", --  909
966
    X"02a008242000", --  910
967
    X"80600bd6047c", --  911
968
    X"80622b80611b", --  912
969
    X"80644b80633b", --  913
970
    X"80f01a80655b", --  914
971
    X"82f03a81f02a", --  915
972
    X"84f05a83f04a", --  916
973
    X"86f07a85f06a", --  917
974
    X"88f09a87f08a", --  918
975
    X"8af0ba89f0aa", --  919
976
    X"8cf0da8bf0ca", --  920
977
    X"1ff00f8df0ea", --  921
978
    X"3ff01400e01a", --  922
979
    X"80f12b80f01b", --  923
980
    X"80f34b80f23b", --  924
981
    X"80f56b80f45b", --  925
982
    X"80f78b80f67b", --  926
983
    X"80f9ab80f89b", --  927
984
    X"80fbcb80fabb", --  928
985
    X"80fdeb80fcdb", --  929
986
    X"80f12a80fefb", --  930
987
    X"01100681f13a", --  931
988
    X"d38000d20000", --  932
989
    X"84f11a150000", --  933
990
    X"d60000144001", --  934
991
    X"006023d70000", --  935
992
    X"19100088f13a", --  936
993
    X"c0759ac07559", --  937
994
    X"86800ac0028b", --  938
995
    X"18800187900a", --  939
996
    X"066713199001", --  940
997
    X"c0a0310a6207", --  941
998
    X"105000226000", --  942
999
    X"155001311001", --  943
1000
    X"d600018e54d9", --  944
1001
    X"006023d70000", --  945
1002
    X"08800688f13a", --  946
1003
    X"c076dac07699", --  947
1004
    X"86800ac0014b", --  948
1005
    X"1880028a801a", --  949
1006
    X"06aa13066613", --  950
1007
    X"db0000da0001", --  951
1008
    X"88f13a00a023", --  952
1009
    X"c0779ac07759", --  953
1010
    X"8a800ac0014b", --  954
1011
    X"18800284801a", --  955
1012
    X"0a44130aaa13", --  956
1013
    X"d20000c02037", --  957
1014
    X"242000d30000", --  958
1015
    X"c0c0210c6407", --  959
1016
    X"0ca407246000", --  960
1017
    X"24a000c0c021", --  961
1018
    X"02290e094012", --  962
1019
    X"06690e042009", --  963
1020
    X"0aa90e056009", --  964
1021
    X"0c440b09a009", --  965
1022
    X"82201302590b", --  966
1023
    X"c0c0b70cc207", --  967
1024
    X"c0798ac07959", --  968
1025
    X"88f14ac0028b", --  969
1026
    X"80800a89f10a", --  970
1027
    X"80900b188001", --  971
1028
    X"c07bf8199001", --  972
1029
    X"399001094506", --  973
1030
    X"d65555c09044", --  974
1031
    X"c07af8d72aab", --  975
1032
    X"04480ad84000", --  976
1033
    X"855012844012", --  977
1034
    X"c09080094502", --  978
1035
    X"8ff9fb80f40b", --  979
1036
    X"87ffeabe00cf", --  980
1037
    X"069706d97fff", --  981
1038
    X"d70000c07af8", --  982
1039
    X"c07b69d67fff", --  983
1040
    X"c0028bc07bea", --  984
1041
    X"88f14a85f14a", --  985
1042
    X"89f10a088006", --  986
1043
    X"1880018a800a", --  987
1044
    X"8b500a0a7a0a", --  988
1045
    X"0b6b0a155001", --  989
1046
    X"809a0b0aab02", --  990
1047
    X"80f01a199001", --  991
1048
    X"82f03a81f02a", --  992
1049
    X"84f05a83f04a", --  993
1050
    X"86f07a85f06a", --  994
1051
    X"88f09a87f08a", --  995
1052
    X"8af0ba89f0aa", --  996
1053
    X"8cf0da8bf0ca", --  997
1054
    X"8ef0fa8df0ea", --  998
1055
    X"00e01a1ff014", --  999
1056
    X"80f01b3ff008", -- 1000
1057
    X"80f23b80f12b", -- 1001
1058
    X"80f45b80f34b", -- 1002
1059
    X"81f08a80f56b", -- 1003
1060
    X"311001111028", -- 1004
1061
    X"80100a321001", -- 1005
1062
    X"c07e8ac07e29", -- 1006
1063
    X"333001d30028", -- 1007
1064
    X"83f07a00301e", -- 1008
1065
    X"32200184200a", -- 1009
1066
    X"85100a04340a", -- 1010
1067
    X"80140b045406", -- 1011
1068
    X"d405f0311001", -- 1012
1069
    X"04340a84400a", -- 1013
1070
    X"04540685100a", -- 1014
1071
    X"d405f080140b", -- 1015
1072
    X"80f01a80400b", -- 1016
1073
    X"82f03a81f02a", -- 1017
1074
    X"84f05a83f04a", -- 1018
1075
    X"1ff00885f06a", -- 1019
1076
    X"3ff03900e01a", -- 1020
1077
    X"80f12b80f01b", -- 1021
1078
    X"80f34b80f23b", -- 1022
1079
    X"80f56b80f45b", -- 1023
1080
    X"80f78b80f67b", -- 1024
1081
    X"80f9ab80f89b", -- 1025
1082
    X"80fbcb80fabb", -- 1026
1083
    X"80fdeb80fcdb", -- 1027
1084
    X"c080e980fefb", -- 1028
1085
    X"c0028bc0812a", -- 1029
1086
    X"11f01080f38a", -- 1030
1087
    X"10000182000a", -- 1031
1088
    X"80120b822022", -- 1032
1089
    X"d20000111001", -- 1033
1090
    X"002023d30000", -- 1034
1091
    X"c081cac081a9", -- 1035
1092
    X"11f010c0028b", -- 1036
1093
    X"11100182100a", -- 1037
1094
    X"c02053022213", -- 1038
1095
    X"d10000d005f1", -- 1039
1096
    X"c0864880010b", -- 1040
1097
    X"377001072012", -- 1041
1098
    X"04200902270e", -- 1042
1099
    X"c082fac082b9", -- 1043
1100
    X"80f39ac0028b", -- 1044
1101
    X"82000a11f010", -- 1045
1102
    X"822022100001", -- 1046
1103
    X"11100180120b", -- 1047
1104
    X"d30000d20000", -- 1048
1105
    X"c08379002023", -- 1049
1106
    X"c0028bc0839a", -- 1050
1107
    X"82100a11f010", -- 1051
1108
    X"022213111001", -- 1052
1109
    X"d60000c02033", -- 1053
1110
    X"012012c08548", -- 1054
1111
    X"05200902210e", -- 1055
1112
    X"80f40b017106", -- 1056
1113
    X"be00cf8ff5fb", -- 1057
1114
    X"c0203682ffea", -- 1058
1115
    X"c08498d38001", -- 1059
1116
    X"822071d30000", -- 1060
1117
    X"80f30b022110", -- 1061
1118
    X"be06a48ff2fb", -- 1062
1119
    X"82ffda83ffea", -- 1063
1120
    X"012009822091", -- 1064
1121
    X"06160ad60ccc", -- 1065
1122
    X"85500ad505f1", -- 1066
1123
    X"c0861ac085b9", -- 1067
1124
    X"81f38ac0028b", -- 1068
1125
    X"05570ad77333", -- 1069
1126
    X"82100a055602", -- 1070
1127
    X"82203102250b", -- 1071
1128
    X"11100180130b", -- 1072
1129
    X"80450bd405f1", -- 1073
1130
    X"81f02a80f01a", -- 1074
1131
    X"83f04a82f03a", -- 1075
1132
    X"85f06a84f05a", -- 1076
1133
    X"87f08a86f07a", -- 1077
1134
    X"89f0aa88f09a", -- 1078
1135
    X"8bf0ca8af0ba", -- 1079
1136
    X"8df0ea8cf0da", -- 1080
1137
    X"1ff0398ef0fa", -- 1081
1138
    X"3ff00200e01a", -- 1082
1139
    X"80f12b80f01b", -- 1083
1140
    X"c087eac087d9", -- 1084
1141
    X"d005f2c000ab", -- 1085
1142
    X"80010bd10000", -- 1086
1143
    X"c08859100001", -- 1087
1144
    X"d0008fc0886a", -- 1088
1145
    X"00001e100028", -- 1089
1146
    X"80010bd00482", -- 1090
1147
    X"c088d9100001", -- 1091
1148
    X"d0008fc088ea", -- 1092
1149
    X"00001e100028", -- 1093
1150
    X"80010bd00539", -- 1094
1151
    X"80f01a100001", -- 1095
1152
    X"1ff00281f02a", -- 1096
1153
    X"3ff0b000e01a", -- 1097
1154
    X"80f12b80f01b", -- 1098
1155
    X"80f34b80f23b", -- 1099
1156
    X"80f56b80f45b", -- 1100
1157
    X"80f78b80f67b", -- 1101
1158
    X"11f0af80fe9b", -- 1102
1159
    X"d1000080100a", -- 1103
1160
    X"82300a13f0ae", -- 1104
1161
    X"12200183200a", -- 1105
1162
    X"143006333003", -- 1106
1163
    X"c0503035408f", -- 1107
1164
    X"334006d4008f", -- 1108
1165
    X"d5466680f00b", -- 1109
1166
    X"d5000a8ff5fb", -- 1110
1167
    X"15f0828ff5eb", -- 1111
1168
    X"be00158ff5db", -- 1112
1169
    X"8ff5fbd5599a", -- 1113
1170
    X"8ff5db15f08d", -- 1114
1171
    X"15f082be0015", -- 1115
1172
    X"15f0b080f50b", -- 1116
1173
    X"05510285500a", -- 1117
1174
    X"d505118ff5fb", -- 1118
1175
    X"be003e8ff5eb", -- 1119
1176
    X"c08c8ac08c49", -- 1120
1177
    X"d605c8c0028b", -- 1121
1178
    X"15500187500a", -- 1122
1179
    X"80670b877022", -- 1123
1180
    X"d50511166001", -- 1124
1181
    X"d505c880f50b", -- 1125
1182
    X"8ff3eb8ff5fb", -- 1126
1183
    X"15f00a8ff4db", -- 1127
1184
    X"be07358ff5cb", -- 1128
1185
    X"80f50b15f082", -- 1129
1186
    X"8ff5fb15f098", -- 1130
1187
    X"155001d5000a", -- 1131
1188
    X"be00008ff5eb", -- 1132
1189
    X"c08e5ac08e49", -- 1133
1190
    X"35500ad50016", -- 1134
1191
    X"00501e355001", -- 1135
1192
    X"15500a15f098", -- 1136
1193
    X"d60000155001", -- 1137
1194
    X"15500180560b", -- 1138
1195
    X"80f50b15f08d", -- 1139
1196
    X"8ff5fb15f098", -- 1140
1197
    X"d500168ff5eb", -- 1141
1198
    X"15f0988ff5db", -- 1142
1199
    X"15500115500a", -- 1143
1200
    X"d500008ff5cb", -- 1144
1201
    X"be00758ff5bb", -- 1145
1202
    X"84300a13f098", -- 1146
1203
    X"04440b133001", -- 1147
1204
    X"c08fe9004023", -- 1148
1205
    X"d50016c0900a", -- 1149
1206
    X"00501e355001", -- 1150
1207
    X"13300184300a", -- 1151
1208
    X"165000044413", -- 1152
1209
    X"84300a13f098", -- 1153
1210
    X"85300a133001", -- 1154
1211
    X"00402304450b", -- 1155
1212
    X"c0910ac090d9", -- 1156
1213
    X"355002d50016", -- 1157
1214
    X"84300a00501e", -- 1158
1215
    X"13300185301a", -- 1159
1216
    X"175000044513", -- 1160
1217
    X"d70000c07022", -- 1161
1218
    X"07750ad56666", -- 1162
1219
    X"8ff6fb80f70b", -- 1163
1220
    X"87ffeabe00cf", -- 1164
1221
    X"80f50b15f00a", -- 1165
1222
    X"be07d08ff7fb", -- 1166
1223
    X"80f50b15f08d", -- 1167
1224
    X"8ff5fb15f00a", -- 1168
1225
    X"05510215f032", -- 1169
1226
    X"d500288ff5eb", -- 1170
1227
    X"d505f28ff5db", -- 1171
1228
    X"d500018ff5cb", -- 1172
1229
    X"be00758ff5bb", -- 1173
1230
    X"85500a15f0b0", -- 1174
1231
    X"80f50b055102", -- 1175
1232
    X"05510215f032", -- 1176
1233
    X"be07f98ff5fb", -- 1177
1234
    X"155028d50511", -- 1178
1235
    X"80f50b35508f", -- 1179
1236
    X"35508fd50511", -- 1180
1237
    X"d5008f8ff5fb", -- 1181
1238
    X"be00008ff5eb", -- 1182
1239
    X"155028d505c8", -- 1183
1240
    X"80f50b35508f", -- 1184
1241
    X"35508fd505c8", -- 1185
1242
    X"d5008f8ff5fb", -- 1186
1243
    X"be00008ff5eb", -- 1187
1244
    X"10000110000a", -- 1188
1245
    X"351050111028", -- 1189
1246
    X"15f0b0cf5564", -- 1190
1247
    X"15505085500a", -- 1191
1248
    X"80f50b35500a", -- 1192
1249
    X"85500a15f0b0", -- 1193
1250
    X"8ff5fb35500a", -- 1194
1251
    X"8ff5ebd5000a", -- 1195
1252
    X"15f032be0000", -- 1196
1253
    X"15f0b080f50b", -- 1197
1254
    X"8ff5fb85500a", -- 1198
1255
    X"8ff5ebd50050", -- 1199
1256
    X"80f01abe0000", -- 1200
1257
    X"82f03a81f02a", -- 1201
1258
    X"84f05a83f04a", -- 1202
1259
    X"86f07a85f06a", -- 1203
1260
    X"8ef09a87f08a", -- 1204
1261
    X"00e01a1ff0b0", -- 1205
1262
    X"d00427df0bff", -- 1206
1263
    X"80010bd17530", -- 1207
1264
    X"80011bd16590", -- 1208
1265
    X"80012bd15208", -- 1209
1266
    X"80013bd13a98", -- 1210
1267
    X"80014bd11f40", -- 1211
1268
    X"80015bd10000", -- 1212
1269
    X"80016bd1e0c0", -- 1213
1270
    X"80017bd1c568", -- 1214
1271
    X"80018bd1adf8", -- 1215
1272
    X"80019bd19a70", -- 1216
1273
    X"d10923d00467", -- 1217
1274
    X"d1124780010b", -- 1218
1275
    X"d11b6a80011b", -- 1219
1276
    X"d1248e80012b", -- 1220
1277
    X"d12db280013b", -- 1221
1278
    X"d136d580014b", -- 1222
1279
    X"d13ff980015b", -- 1223
1280
    X"d1491d80016b", -- 1224
1281
    X"d1524080017b", -- 1225
1282
    X"d15b6480018b", -- 1226
1283
    X"c099c980019b", -- 1227
1284
    X"c000abc099da", -- 1228
1285
    X"d10000d00601", -- 1229
1286
    X"10000180010b", -- 1230
1287
    X"d10000d0065b", -- 1231
1288
    X"be0b5f80010b", -- 1232
1289
    X"be06dfbe0875", -- 1233
1290
    X"d10000d005f0", -- 1234
1291
    X"d005f180010b", -- 1235
1292
    X"80010bd11000", -- 1236
1293
    X"d15555d005fc", -- 1237
1294
    X"d005fd80010b", -- 1238
1295
    X"80010bd1c800", -- 1239
1296
    X"80012b80011b", -- 1240
1297
    X"00001d80013b", -- 1241
1298
    X"80f01b3ff015", -- 1242
1299
    X"80f23b80f12b", -- 1243
1300
    X"80f45b80f34b", -- 1244
1301
    X"80f67b80f56b", -- 1245
1302
    X"80f13a80fe8b", -- 1246
1303
    X"830109d10000", -- 1247
1304
    X"81000a80f15a", -- 1248
1305
    X"d20001811072", -- 1249
1306
    X"81000a031218", -- 1250
1307
    X"322001d20080", -- 1251
1308
    X"81001a041218", -- 1252
1309
    X"d20020811052", -- 1253
1310
    X"051218322001", -- 1254
1311
    X"d2002081001a", -- 1255
1312
    X"061218322001", -- 1256
1313
    X"8ff5fb80f40b", -- 1257
1314
    X"d114858ff6eb", -- 1258
1315
    X"72200ad20004", -- 1259
1316
    X"01120202230c", -- 1260
1317
    X"d1043f8ff1db", -- 1261
1318
    X"81f14a8ff1cb", -- 1262
1319
    X"d114d58ff1bb", -- 1263
1320
    X"02230cd2000a", -- 1264
1321
    X"8ff1ab011202", -- 1265
1322
    X"81f14abe0354", -- 1266
1323
    X"d1047280f10b", -- 1267
1324
    X"d1000a8ff1fb", -- 1268
1325
    X"be00008ff1eb", -- 1269
1326
    X"80130bd10471", -- 1270
1327
    X"d10472c0a0f8", -- 1271
1328
    X"81f14a80f10b", -- 1272
1329
    X"d1000a8ff1fb", -- 1273
1330
    X"be00008ff1eb", -- 1274
1331
    X"83100ad10471", -- 1275
1332
    X"80f10bd10472", -- 1276
1333
    X"8ff1fb11f009", -- 1277
1334
    X"d20004d11485", -- 1278
1335
    X"02230c72200a", -- 1279
1336
    X"8ff1eb011202", -- 1280
1337
    X"8ff1dbd1043f", -- 1281
1338
    X"d2000ad114e9", -- 1282
1339
    X"01120202230c", -- 1283
1340
    X"be01ce8ff1cb", -- 1284
1341
    X"80f10b11f009", -- 1285
1342
    X"8ff1fbd1043f", -- 1286
1343
    X"80f01abe03e9", -- 1287
1344
    X"82f03a81f02a", -- 1288
1345
    X"84f05a83f04a", -- 1289
1346
    X"86f07a85f06a", -- 1290
1347
    X"1ff0158ef08a", -- 1291
1348
    X"3ff01000e01a", -- 1292
1349
    X"80f12b80f01b", -- 1293
1350
    X"80f10a80fe3b", -- 1294
1351
    X"10f00480f00b", -- 1295
1352
    X"80f0ea8ff0fb", -- 1296
1353
    X"be09b48ff0eb", -- 1297
1354
    X"80f00b10f004", -- 1298
1355
    X"8ff0fb80f0fa", -- 1299
1356
    X"80f01abe0594", -- 1300
1357
    X"8ef03a81f02a", -- 1301
1358
    X"00e01a1ff010", -- 1302
1359
    X"80f01b3ff00a", -- 1303
1360
    X"80f23b80f12b", -- 1304
1361
    X"80f45b80f34b", -- 1305
1362
    X"83f07a82f08a", -- 1306
1363
    X"c0015280f09a", -- 1307
1364
    X"3100c580f0aa", -- 1308
1365
    X"110002c010d6", -- 1309
1366
    X"01140ad42aab", -- 1310
1367
    X"80210b111013", -- 1311
1368
    X"011102141000", -- 1312
1369
    X"010106011402", -- 1313
1370
    X"80310b11103a", -- 1314
1371
    X"310070c0a638", -- 1315
1372
    X"d1000080210b", -- 1316
1373
    X"c0a63880310b", -- 1317
1374
    X"81100a81f08a", -- 1318
1375
    X"341014311005", -- 1319
1376
    X"d10014c04026", -- 1320
1377
    X"35408f141009", -- 1321
1378
    X"d4008fc05030", -- 1322
1379
    X"80f0aa314009", -- 1323
1380
    X"d42aab150002", -- 1324
1381
    X"35500105540a", -- 1325
1382
    X"80240b045102", -- 1326
1383
    X"054502045502", -- 1327
1384
    X"044506340002", -- 1328
1385
    X"80f01a80340b", -- 1329
1386
    X"82f03a81f02a", -- 1330
1387
    X"84f05a83f04a", -- 1331
1388
    X"1ff00a85f06a", -- 1332
1389
    X"3ff00600e01a", -- 1333
1390
    X"80f12b80f01b", -- 1334
1391
    X"80f34b80f23b", -- 1335
1392
    X"80400ad405fc", -- 1336
1393
    X"00020bd27c4d", -- 1337
1394
    X"d23619800013", -- 1338
1395
    X"000203d30000", -- 1339
1396
    X"80f06b80400b", -- 1340
1397
    X"81f02a80f01a", -- 1341
1398
    X"83f04a82f03a", -- 1342
1399
    X"1ff00684f05a", -- 1343
1400
    X"3ff00f00e01a", -- 1344
1401
    X"80f12b80f01b", -- 1345
1402
    X"80f34b80f23b", -- 1346
1403
    X"80f56b80f45b", -- 1347
1404
    X"81f0ea10f009", -- 1348
1405
    X"021318d30007", -- 1349
1406
    X"022302832020", -- 1350
1407
    X"81103280020b", -- 1351
1408
    X"021318d30007", -- 1352
1409
    X"022302832020", -- 1353
1410
    X"80021b122001", -- 1354
1411
    X"d30007811032", -- 1355
1412
    X"832020021318", -- 1356
1413
    X"122002022302", -- 1357
1414
    X"81103280022b", -- 1358
1415
    X"041318d30001", -- 1359
1416
    X"d30007811012", -- 1360
1417
    X"832020021318", -- 1361
1418
    X"122003022302", -- 1362
1419
    X"80023b022402", -- 1363
1420
    X"c0aaeac0aad9", -- 1364
1421
    X"82f0dac0028b", -- 1365
1422
    X"80230bd30000", -- 1366
1423
    X"c0ab69122001", -- 1367
1424
    X"c0004bc0ac1a", -- 1368
1425
    X"d5e000d21fff", -- 1369
1426
    X"81f0fa84f0da", -- 1370
1427
    X"021318d30001", -- 1371
1428
    X"83000a811012", -- 1372
1429
    X"054302100001", -- 1373
1430
    X"802338d30000", -- 1374
1431
    X"c0ac18d21fff", -- 1375
1432
    X"80520bd2e000", -- 1376
1433
    X"81f02a80f01a", -- 1377
1434
    X"83f04a82f03a", -- 1378
1435
    X"85f06a84f05a", -- 1379
1436
    X"00e01a1ff00f", -- 1380
1437
    X"80f01b3ff014", -- 1381
1438
    X"80f23b80f12b", -- 1382
1439
    X"80f45b80f34b", -- 1383
1440
    X"80f67b80f56b", -- 1384
1441
    X"80f89b80f78b", -- 1385
1442
    X"80fabb80f9ab", -- 1386
1443
    X"80fcdb80fbcb", -- 1387
1444
    X"80fefb80fdeb", -- 1388
1445
    X"d1000080f12a", -- 1389
1446
    X"82f11a810128", -- 1390
1447
    X"d1733380200a", -- 1391
1448
    X"03010600010a", -- 1392
1449
    X"d07333c03020", -- 1393
1450
    X"82f10a80200b", -- 1394
1451
    X"d17d6f80200a", -- 1395
1452
    X"80200b00010a", -- 1396
1453
    X"80f00bd005fd", -- 1397
1454
    X"c0b248be0b35", -- 1398
1455
    X"81004280f14a", -- 1399
1456
    X"011302d3157c", -- 1400
1457
    X"d2001081100a", -- 1401
1458
    X"020218322001", -- 1402
1459
    X"022302d31584", -- 1403
1460
    X"73100282200a", -- 1404
1461
    X"030302d01520", -- 1405
1462
    X"74200283300a", -- 1406
1463
    X"040402d01530", -- 1407
1464
    X"03340284400a", -- 1408
1465
    X"80530b85f11a", -- 1409
1466
    X"80f50bd505fd", -- 1410
1467
    X"8ff5fbd5065c", -- 1411
1468
    X"83ffeabe05c4", -- 1412
1469
    X"76100284ffda", -- 1413
1470
    X"d01520166001", -- 1414
1471
    X"86600a060602", -- 1415
1472
    X"782002d70000", -- 1416
1473
    X"d01530188001", -- 1417
1474
    X"88800a080802", -- 1418
1475
    X"066803d90000", -- 1419
1476
    X"08830b886013", -- 1420
1477
    X"155004054004", -- 1421
1478
    X"85f10a08850e", -- 1422
1479
    X"d505fd80590b", -- 1423
1480
    X"8ff7fb80f50b", -- 1424
1481
    X"be067f8ff6eb", -- 1425
1482
    X"81f02a80f01a", -- 1426
1483
    X"83f04a82f03a", -- 1427
1484
    X"85f06a84f05a", -- 1428
1485
    X"87f08a86f07a", -- 1429
1486
    X"89f0aa88f09a", -- 1430
1487
    X"8bf0ca8af0ba", -- 1431
1488
    X"8df0ea8cf0da", -- 1432
1489
    X"1ff0148ef0fa", -- 1433
1490
    X"3ff00800e01a", -- 1434
1491
    X"80f12b80f01b", -- 1435
1492
    X"80f34b80f23b", -- 1436
1493
    X"d0000080f45b", -- 1437
1494
    X"c0b419d10000", -- 1438
1495
    X"c0004bc0b47a", -- 1439
1496
    X"82400a84f08a", -- 1440
1497
    X"c02036144001", -- 1441
1498
    X"c0b478d3ffff", -- 1442
1499
    X"000203d30000", -- 1443
1500
    X"d41000800023", -- 1444
1501
    X"d1c800000406", -- 1445
1502
    X"c01026010106", -- 1446
1503
    X"c0b549d0c800", -- 1447
1504
    X"c0003bc0b56a", -- 1448
1505
    X"12200382f08a", -- 1449
1506
    X"322001812ffa", -- 1450
1507
    X"80200b80211b", -- 1451
1508
    X"81f02a80f01a", -- 1452
1509
    X"83f04a82f03a", -- 1453
1510
    X"1ff00884f05a", -- 1454
1511
    X"3ff00700e01a", -- 1455
1512
    X"80f12b80f01b", -- 1456
1513
    X"80f34b80f23b", -- 1457
1514
    X"80f56b80f45b", -- 1458
1515
    X"c0b6e980fe7b", -- 1459
1516
    X"d0008fc0b6fa", -- 1460
1517
    X"00001e10000b", -- 1461
1518
    X"d10000d0033d", -- 1462
1519
    X"10000180010b", -- 1463
1520
    X"c0b76ac0b759", -- 1464
1521
    X"d00431c000ab", -- 1465
1522
    X"80010bd10000", -- 1466
1523
    X"d0043b100001", -- 1467
1524
    X"80010bd10ccd", -- 1468
1525
    X"d1003cd0043c", -- 1469
1526
    X"d1000080010b", -- 1470
1527
    X"80010bd0043d", -- 1471
1528
    X"80010bd0043e", -- 1472
1529
    X"d2043fd10467", -- 1473
1530
    X"d50004d4043f", -- 1474
1531
    X"04450275500a", -- 1475
1532
    X"d3000a80f10b", -- 1476
1533
    X"8ff2fb8ff3eb", -- 1477
1534
    X"12200abe0000", -- 1478
1535
    X"d204728f24d9", -- 1479
1536
    X"be00008ff2fb", -- 1480
1537
    X"81f02a80f01a", -- 1481
1538
    X"83f04a82f03a", -- 1482
1539
    X"85f06a84f05a", -- 1483
1540
    X"1ff0078ef07a", -- 1484
1541
    X"d0069000e01a", -- 1485
1542
    X"10000181000a", -- 1486
1543
    X"d2068480f00b", -- 1487
1544
    X"d2065b8ff2fb", -- 1488
1545
    X"02120282200a", -- 1489
1546
    X"be0a198ff2eb", -- 1490
1547
    X"d20427100002", -- 1491
1548
    X"d2068480f20b", -- 1492
1549
    X"d206a18ff2fb", -- 1493
1550
    X"be04488ff2eb", -- 1494
1551
    X"80f20bd20684", -- 1495
1552
    X"8ff2fbd20427", -- 1496
1553
    X"8ff2ebd2000a", -- 1497
1554
    X"d206a1be0000", -- 1498
1555
    X"d806b7d30000", -- 1499
1556
    X"12200a00001d", -- 1500
1557
    X"133028122001", -- 1501
1558
    X"d0033d00001d", -- 1502
1559
    X"80f00b100050", -- 1503
1560
    X"8ff0fbd0033d", -- 1504
1561
    X"10000bd0008f", -- 1505
1562
    X"be00008ff0eb", -- 1506
1563
    X"84000a00001d", -- 1507
1564
    X"c03212100001", -- 1508
1565
    X"10000185000a", -- 1509
1566
    X"c050f2051502", -- 1510
1567
    X"8ff3fb80f40b", -- 1511
1568
    X"8ff6ebd6068e", -- 1512
1569
    X"8ff6dbd6068f", -- 1513
1570
    X"d6068ebe0a2e", -- 1514
1571
    X"d6068f85600a", -- 1515
1572
    X"d6043c87600a", -- 1516
1573
    X"c0c06880650b", -- 1517
1574
    X"85600ad6043c", -- 1518
1575
    X"80650bd6068e", -- 1519
1576
    X"d70000d6068f", -- 1520
1577
    X"15500180670b", -- 1521
1578
    X"c0702037508f", -- 1522
1579
    X"d6043cd5008f", -- 1523
1580
    X"c0c06880650b", -- 1524
1581
    X"80f40bc010f2", -- 1525
1582
    X"d6068e8ff3fb", -- 1526
1583
    X"d6068f8ff6eb", -- 1527
1584
    X"be0a2e8ff6db", -- 1528
1585
    X"85600ad6068e", -- 1529
1586
    X"87600ad6068f", -- 1530
1587
    X"80650bd6043c", -- 1531
1588
    X"d6043cc0c068", -- 1532
1589
    X"d6068e85600a", -- 1533
1590
    X"d6068f80650b", -- 1534
1591
    X"80670bd70000", -- 1535
1592
    X"37508f155001", -- 1536
1593
    X"d5008fc07020", -- 1537
1594
    X"80650bd6043c", -- 1538
1595
    X"85600ad6068e", -- 1539
1596
    X"18800180850b", -- 1540
1597
    X"066302d603d7", -- 1541
1598
    X"d6068e80f60b", -- 1542
1599
    X"8ff6fb86600a", -- 1543
1600
    X"86600ad6068f", -- 1544
1601
    X"be05528ff6eb", -- 1545
1602
    X"8017b8d70000", -- 1546
1603
    X"85f00abe0a6b", -- 1547
1604
    X"055718d71fff", -- 1548
1605
    X"be0a6b80050b", -- 1549
1606
    X"d7000f86f00a", -- 1550
1607
    X"80061b066718", -- 1551
1608 4 madsilicon
    --X"80f60b86001a", -- 1552
1609 2 madsilicon
    X"8ff5fb85000a", -- 1553
1610
    X"8ff7ebd7065c", -- 1554
1611 4 madsilicon
    X"80f60b86001a", -- 1552 // fix
1612 2 madsilicon
    X"100002be0a81", -- 1555
1613
    X"87600ad6043b", -- 1556
1614
    X"d6068e877010", -- 1557
1615
    X"35602886600a", -- 1558
1616
    X"c0c359c050e6", -- 1559
1617
    X"055004c0c3ba", -- 1560
1618
    X"d5065c00501e", -- 1561
1619
    X"89500a065602", -- 1562
1620
    X"09970a155001", -- 1563
1621
    X"09a9028a600a", -- 1564
1622
    X"16600180690b", -- 1565
1623
    X"10000184000a", -- 1566
1624
    X"d6065c80f40b", -- 1567
1625
    X"8ff1eb8ff6fb", -- 1568
1626
    X"8ff6dbd6043e", -- 1569
1627
    X"8ff6cbd6043d", -- 1570
1628
    X"85ffdabe0aca", -- 1571
1629
    X"85600ad6043e", -- 1572
1630
    X"87600ad6043d", -- 1573
1631
    X"80650bd6043b", -- 1574
1632
    X"065906d932d9", -- 1575
1633
    X"d6043bc06030", -- 1576
1634
    X"d90ccd80690b", -- 1577
1635
    X"c06036065906", -- 1578
1636
    X"80690bd6043b", -- 1579
1637
    X"c0c67ac0c5e9", -- 1580
1638
    X"d603d7c0028b", -- 1581
1639
    X"dc065c066302", -- 1582
1640
    X"0a950b89600a", -- 1583
1641
    X"89c00a00a023", -- 1584
1642
    X"0a97131cc001", -- 1585
1643
    X"09a0098aa011", -- 1586
1644
    X"16600180690b", -- 1587
1645
    X"80f20b000021", -- 1588
1646
    X"066302d603d7", -- 1589
1647
    X"d6060b8ff6fb", -- 1590
1648
    X"8ff6eb066302", -- 1591
1649
    X"8ff6dbd60028", -- 1592
1650
    X"8ff6cbd60431", -- 1593
1651
    X"8ff6bbd60000", -- 1594
1652
    X"060020be0075", -- 1595
1653
    X"8169b8d90000", -- 1596
1654
    X"c0c84ac0c819", -- 1597
1655
    X"16600bd6008f", -- 1598
1656
    X"00601e166050", -- 1599
1657
    X"89600ad6033d", -- 1600
1658
    X"80690b899022", -- 1601
1659
    X"80f20b166001", -- 1602
1660
    X"066302d603d7", -- 1603
1661
    X"d6060b8ff6fb", -- 1604
1662
    X"8ff6eb066302", -- 1605
1663
    X"8ff6dbd60028", -- 1606
1664
    X"8ff6cbd60431", -- 1607
1665
    X"8ff6bbd60001", -- 1608
1666
    X"c0c9e8be0075", -- 1609
1667
    X"066302d6060b", -- 1610
1668
    X"36600a166028", -- 1611
1669
    X"d6043180f60b", -- 1612
1670
    X"d6000a8ff6fb", -- 1613
1671
    X"be00008ff6eb", -- 1614
1672
    X"3ff00700001d", -- 1615
1673
    X"80f12b80f01b", -- 1616
1674
    X"80f34b80f23b", -- 1617
1675
    X"80001280f07a", -- 1618
1676
    X"c0cab9d10001", -- 1619
1677
    X"c0006bc0cada", -- 1620
1678
    X"800012d20001", -- 1621
1679
    X"011302030218", -- 1622
1680
    X"01100280f06a", -- 1623
1681
    X"80f15b011218", -- 1624
1682
    X"81f02a80f01a", -- 1625
1683
    X"83f04a82f03a", -- 1626
1684
    X"00e01a1ff007", -- 1627
1685
    X"80f01b3ff008", -- 1628
1686
    X"80f23b80f12b", -- 1629
1687
    X"80f45b80f34b", -- 1630
1688
    X"80f08a80f56b", -- 1631
1689
    X"81000ad40690", -- 1632
1690
    X"d200ff831082", -- 1633
1691
    X"80421b023218", -- 1634
1692
    X"031218d200ff", -- 1635
1693
    X"8510e281001a", -- 1636
1694
    X"025218d20003", -- 1637
1695
    X"023219833020", -- 1638
1696
    X"d23fff80422b", -- 1639
1697
    X"853062031218", -- 1640
1698
    X"025218d200ff", -- 1641
1699
    X"d2003f80423b", -- 1642
1700
    X"853052031218", -- 1643
1701
    X"025218d20001", -- 1644
1702
    X"d2001f80424b", -- 1645
1703
    X"81002a031218", -- 1646
1704
    X"d200ff851082", -- 1647
1705
    X"833080025218", -- 1648
1706
    X"80425b023219", -- 1649
1707
    X"031218d200ff", -- 1650
1708
    X"d2000f853042", -- 1651
1709
    X"80426b025218", -- 1652
1710
    X"031218d2000f", -- 1653
1711
    X"8510d281003a", -- 1654
1712
    X"025218d20007", -- 1655
1713
    X"023219833030", -- 1656
1714
    X"d21fff80427b", -- 1657
1715
    X"853082031218", -- 1658
1716
    X"025218d2001f", -- 1659
1717
    X"d200ff80428b", -- 1660
1718
    X"81004a031218", -- 1661
1719
    X"d2001f8510b2", -- 1662
1720
    X"833050025218", -- 1663
1721
    X"80429b023219", -- 1664
1722
    X"031218d207ff", -- 1665
1723
    X"d2000f853072", -- 1666
1724
    X"8042ab025218", -- 1667
1725
    X"031218d2007f", -- 1668
1726
    X"81000a8043bb", -- 1669
1727
    X"01121982001a", -- 1670
1728
    X"01121982002a", -- 1671
1729
    X"01121982003a", -- 1672
1730
    X"01121982004a", -- 1673
1731
    X"d2000083f07a", -- 1674
1732
    X"d20001801229", -- 1675
1733
    X"80f01a80320b", -- 1676
1734
    X"82f03a81f02a", -- 1677
1735
    X"84f05a83f04a", -- 1678
1736
    X"1ff00885f06a", -- 1679
1737
    X"d0069c00e01a", -- 1680
1738
    X"d0069080f00b", -- 1681
1739
    X"be0cb88ff0fb", -- 1682
1740
    X"80f10b81003a", -- 1683
1741
    X"8ff1fb81004a", -- 1684
1742
    X"81ffeabe0c9f", -- 1685
1743
    X"00001d80014b", -- 1686
1744
    X"d006b7000024", -- 1687
1745
    X"81001a81000a", -- 1688
1746
    X"80f00bd0060b", -- 1689
1747
    X"8ff0fbd006a1", -- 1690
1748
    X"8ff0ebd006b7", -- 1691
1749
    X"d0060bbe0893", -- 1692
1750
    X"be06ee80f00b", -- 1693
1751
    X"3ff00900001d", -- 1694
1752
    X"80f12b80f01b", -- 1695
1753
    X"80f09a80f23b", -- 1696
1754
    X"00010b81f07a", -- 1697
1755
    X"80f09a000023", -- 1698
1756
    X"02010a81f06a", -- 1699
1757
    X"80f08a902001", -- 1700
1758
    X"02010a81f07a", -- 1701
1759
    X"80f04b902001", -- 1702
1760
    X"80f01a80f15b", -- 1703
1761
    X"82f03a81f02a", -- 1704
1762
    X"00e01a1ff009", -- 1705
1763
    X"80f01b3ff00c", -- 1706
1764
    X"80f23b80f12b", -- 1707
1765
    X"80f45b80f34b", -- 1708
1766
    X"d03fff80fe6b", -- 1709
1767
    X"80f0ca80f00b", -- 1710
1768
    X"be00cf8ff0fb", -- 1711
1769
    X"80f0ba84ffea", -- 1712
1770
    X"00041781f0ca", -- 1713
1771
    X"d37fffd2ffff", -- 1714
1772
    X"000008002007", -- 1715
1773
    X"000008000417", -- 1716
1774
    X"83f0aa82f09a", -- 1717
1775
    X"80f30b022008", -- 1718
1776
    X"8ff1eb8ff2fb", -- 1719
1777
    X"be0d3d8ff0db", -- 1720
1778
    X"80ffba81ffca", -- 1721
1779
    X"80f07b800021", -- 1722
1780
    X"80f01a80f18b", -- 1723
1781
    X"82f03a81f02a", -- 1724
1782
    X"84f05a83f04a", -- 1725
1783
    X"1ff00c8ef06a", -- 1726
1784
    X"df0bff00e01a", -- 1727
1785
    X"d10000d00000", -- 1728
1786
    X"d30000d20000", -- 1729
1787
    X"d50000d40000", -- 1730
1788
    X"d70000d60000", -- 1731
1789
    X"d90000d80000", -- 1732
1790
    X"db0000da0000", -- 1733
1791
    X"dd0000dc0000", -- 1734
1792
    X"d102b9de0000", -- 1735
1793
    X"80120bd27530", -- 1736
1794
    X"80121bd26590", -- 1737
1795
    X"80122bd25208", -- 1738
1796
    X"80123bd23a98", -- 1739
1797
    X"80124bd21f40", -- 1740
1798
    X"80125bd20000", -- 1741
1799
    X"80126bd2e0c0", -- 1742
1800
    X"80127bd2c568", -- 1743
1801
    X"80128bd2adf8", -- 1744
1802
    X"80129bd29a70", -- 1745
1803
    X"d10000d00000", -- 1746
1804
    X"c0daaac0da99", -- 1747
1805
    X"80100bc00f0b", -- 1748
1806
    X"d101cf111001", -- 1749
1807
    X"c0db2ac0db19", -- 1750
1808
    X"12208fd20050", -- 1751
1809
    X"80100b00201e", -- 1752
1810
    X"d100f0111001", -- 1753
1811
    X"c0dbbac0dba9", -- 1754
1812
    X"12208fd20050", -- 1755
1813
    X"00201e12200b", -- 1756
1814
    X"11100180100b", -- 1757
1815
    X"d202d7d102d7", -- 1758
1816
    X"80100b12200a", -- 1759
1817
    X"8f12e9111001", -- 1760
1818
    X"d202cdd102cd", -- 1761
1819
    X"80100b12200a", -- 1762
1820
    X"8f12e9111001", -- 1763
1821
    X"d202e1d102e1", -- 1764
1822
    X"80100b12200a", -- 1765
1823
    X"8f12e9111001", -- 1766
1824
    X"d002ebd10ccd", -- 1767
1825
    X"d102b980010b", -- 1768
1826
    X"d102c380f10b", -- 1769
1827
    X"d1000a8ff1fb", -- 1770
1828
    X"be00008ff1eb", -- 1771
1829
    X"d20923d1032b", -- 1772
1830
    X"d2124780120b", -- 1773
1831
    X"d21b6a80121b", -- 1774
1832
    X"d2248e80122b", -- 1775
1833
    X"d22db280123b", -- 1776
1834
    X"d236d580124b", -- 1777
1835
    X"d23ff980125b", -- 1778
1836
    X"d2491d80126b", -- 1779
1837
    X"d2524080127b", -- 1780
1838
    X"d25b6480128b", -- 1781
1839
    X"d1032b80129b", -- 1782
1840
    X"d40303d20303", -- 1783
1841
    X"75500ad50004", -- 1784
1842
    X"80f10b044502", -- 1785
1843
    X"8ff3ebd3000a", -- 1786
1844
    X"be00008ff2fb", -- 1787
1845
    X"8f24d912200a", -- 1788
1846
    X"d10000d04000", -- 1789
1847
    X"80200bd20335", -- 1790
1848
    X"80202b80211b", -- 1791
1849
    X"80204b80213b", -- 1792
1850
    X"80206b80215b", -- 1793
1851
    X"d002ff80217b", -- 1794
1852
    X"80010bd1c800", -- 1795
1853
    X"80012b80011b", -- 1796
1854
    X"be0e0d80013b", -- 1797
1855
    X"3ff00200001d", -- 1798
1856
    X"80f12b80f01b", -- 1799
1857
    X"d00000d102f9", -- 1800
1858
    X"80101b80100b", -- 1801
1859
    X"80103b80102b", -- 1802
1860
    X"80105b80104b", -- 1803
1861
    X"81f02a80f01a", -- 1804
1862
    X"00e01a1ff002", -- 1805
1863
    X"80f01b3ff00f", -- 1806
1864
    X"80f23b80f12b", -- 1807
1865
    X"80f45b80f34b", -- 1808
1866
    X"80f67b80f56b", -- 1809
1867
    X"80f9ab80f89b", -- 1810
1868
    X"80fbcb80fabb", -- 1811
1869
    X"80fdeb80fcdb", -- 1812
1870
    X"80600ad602f9", -- 1813
1871
    X"82602a81601a", -- 1814
1872
    X"84604a83603a", -- 1815
1873
    X"89f0fa85605a", -- 1816
1874
    X"c0e47ac0e359", -- 1817
1875
    X"d81e7fc0050b", -- 1818
1876
    X"0a281786900a", -- 1819
1877
    X"0c4817d8f16b", -- 1820
1878
    X"242000d8f12a", -- 1821
1879
    X"00a0230aac03", -- 1822
1880
    X"0a08139a676b", -- 1823
1881
    X"1100009a176b", -- 1824
1882
    X"8aa031106000", -- 1825
1883
    X"02a00806a009", -- 1826
1884
    X"19900180960b", -- 1827
1885
    X"80600bd602f9", -- 1828
1886
    X"80622b80611b", -- 1829
1887
    X"80644b80633b", -- 1830
1888
    X"80f01a80655b", -- 1831
1889
    X"82f03a81f02a", -- 1832
1890
    X"84f05a83f04a", -- 1833
1891
    X"87f08a85f06a", -- 1834
1892
    X"89f0aa88f09a", -- 1835
1893
    X"8bf0ca8af0ba", -- 1836
1894
    X"8df0ea8cf0da", -- 1837
1895
    X"00e01a1ff00f", -- 1838
1896
    X"80f01b3ff0ff", -- 1839
1897
    X"80f23b80f12b", -- 1840
1898
    X"80f45b80f34b", -- 1841
1899
    X"80f67b80f56b", -- 1842
1900
    X"80f89b80f78b", -- 1843
1901
    X"80fabb80f9ab", -- 1844
1902
    X"d00c0080fbcb", -- 1845
1903
    X"82200a12f0ff", -- 1846
1904
    X"c0e72917f00d", -- 1847
1905
    X"c00f0bc0e78a", -- 1848
1906
    X"84000a85200a", -- 1849
1907
    X"122001100001", -- 1850
1908
    X"80760b065415", -- 1851
1909
    X"000021177001", -- 1852
1910
    X"d10000d00001", -- 1853
1911
    X"12f00d000023", -- 1854
1912
    X"c0e89ac0e819", -- 1855
1913
    X"85200ac003cb", -- 1856
1914
    X"8b202a8a201a", -- 1857
1915
    X"1220048c203a", -- 1858
1916
    X"04aa13045513", -- 1859
1917
    X"04cc1304bb13", -- 1860
1918
    X"c00130000020", -- 1861
1919
    X"c0e90912f00d", -- 1862
1920
    X"c003cbc0e9ca", -- 1863
1921
    X"8a201a84200a", -- 1864
1922
    X"8c203a8b202a", -- 1865
1923
    X"8aa022844022", -- 1866
1924
    X"8cc0228bb022", -- 1867
1925
    X"802a1b80240b", -- 1868
1926
    X"802c3b802b2b", -- 1869
1927
    X"c0e798122004", -- 1870
1928
    X"d2000a004012", -- 1871
1929
    X"d1079904400e", -- 1872
1930
    X"044008122001", -- 1873
1931
    X"d107a480140b", -- 1874
1932
    X"80150bd400f0", -- 1875
1933
    X"d60000d10001", -- 1876
1934
    X"006023d70000", -- 1877
1935
    X"34400113f00d", -- 1878
1936
    X"c0ebc9063102", -- 1879
1937
    X"d50001c0ec3a", -- 1880
1938
    X"c05060054518", -- 1881
1939
    X"85600a87300a", -- 1882
1940
    X"166001133001", -- 1883
1941
    X"854012087513", -- 1884
1942
    X"00002400501e", -- 1885
1943
    X"85600a87300a", -- 1886
1944
    X"8b601a8a301a", -- 1887
1945
    X"166002133002", -- 1888
1946
    X"08ab13087513", -- 1889
1947
    X"d70799000024", -- 1890
1948
    X"07710208800e", -- 1891
1949
    X"80780b088008", -- 1892
1950
    X"077102d707a4", -- 1893
1951
    X"80790b111001", -- 1894
1952
    X"80f01a8d12b9", -- 1895
1953
    X"82f03a81f02a", -- 1896
1954
    X"84f05a83f04a", -- 1897
1955
    X"86f07a85f06a", -- 1898
1956
    X"88f09a87f08a", -- 1899
1957
    X"8af0ba89f0aa", -- 1900
1958
    X"1ff0ff8bf0ca", -- 1901
1959
    X"3ff00a00e01a", -- 1902
1960
    X"80f12b80f01b", -- 1903
1961
    X"80f34b80f23b", -- 1904
1962
    X"80f56b80f45b", -- 1905
1963
    X"80f08a80fe7b", -- 1906
1964
    X"c0f00ac0eef9", -- 1907
1965
    X"80f09a00001e", -- 1908
1966
    X"81f0aa100001", -- 1909
1967
    X"d20cf0111001", -- 1910
1968
    X"84000ad30cfa", -- 1911
1969
    X"84100a80f40b", -- 1912
1970
    X"84200a8ff4fb", -- 1913
1971
    X"8ff4eb122001", -- 1914
1972
    X"13300184300a", -- 1915
1973
    X"be0d3d8ff4db", -- 1916
1974
    X"85ffca84ffba", -- 1917
1975
    X"80140b044008", -- 1918
1976
    X"80050b111001", -- 1919
1977
    X"80f01a100001", -- 1920
1978
    X"82f03a81f02a", -- 1921
1979
    X"84f05a83f04a", -- 1922
1980
    X"8ef07a85f06a", -- 1923
1981
    X"00e01a1ff00a", -- 1924
1982
    X"80f01b3ff040", -- 1925
1983
    X"80f23b80f12b", -- 1926
1984
    X"80f45b80f34b", -- 1927
1985
    X"80f67b80f56b", -- 1928
1986
    X"80f89b80f78b", -- 1929
1987
    X"80fabb80f9ab", -- 1930
1988
    X"80fcdb80fbcb", -- 1931
1989
    X"80fefb80fdeb", -- 1932
1990
    X"82201a82f3fa", -- 1933
1991
    X"d80000622001", -- 1934
1992
    X"89901a89f40a", -- 1935
1993
    X"042001022803", -- 1936
1994
    X"86600a86f3fa", -- 1937
1995
    X"87700a87f40a", -- 1938
1996
    X"8ff6fb80f70b", -- 1939
1997
    X"8ff4db8ff5eb", -- 1940
1998
    X"80ffbabe0d54", -- 1941
1999
    X"c0202181ffca", -- 1942
2000
    X"080008000005", -- 1943
2001
    X"80690b86f3da", -- 1944
2002
    X"000008800043", -- 1945
2003
    X"80601b16f01c", -- 1946
2004
    X"80611b16f011", -- 1947
2005
    X"8ff8fb80f90b", -- 1948
2006
    X"8ff8db8ff9eb", -- 1949
2007
    X"80ffbabe0d3d", -- 1950
2008
    X"00000181ffca", -- 1951
2009
    X"d77fffd6ffff", -- 1952
2010
    X"000008006007", -- 1953
2011
    X"86600a86f3fa", -- 1954
2012
    X"87700a87f40a", -- 1955
2013
    X"8ff6db8ff7eb", -- 1956
2014
    X"8ff0fb80f10b", -- 1957
2015
    X"80ffbabe0d3d", -- 1958
2016
    X"0c001281ffca", -- 1959
2017
    X"0a0008000c0e", -- 1960
2018
    X"d00000d60002", -- 1961
2019
    X"c0f5a9d10000", -- 1962
2020
    X"376001c0f70a", -- 1963
2021
    X"d7000100701e", -- 1964
2022
    X"02270282f3fa", -- 1965
2023
    X"83f40a82200a", -- 1966
2024
    X"83300a033702", -- 1967
2025
    X"8ff2fb80f30b", -- 1968
2026
    X"02260212f01c", -- 1969
2027
    X"82200a022706", -- 1970
2028
    X"03360213f011", -- 1971
2029
    X"83300a033706", -- 1972
2030
    X"8ff2db8ff3eb", -- 1973
2031
    X"84ffbabe0d3d", -- 1974
2032
    X"00040385ffca", -- 1975
2033
    X"800041177001", -- 1976
2034
    X"82f3fa81fc0b", -- 1977
2035
    X"82200a022602", -- 1978
2036
    X"8df40a622001", -- 1979
2037
    X"8dd00a0dd602", -- 1980
2038
    X"022c03dc0000", -- 1981
2039
    X"0002038cf10a", -- 1982
2040
    X"80fb0b020001", -- 1983
2041
    X"8ff3eb8ffafb", -- 1984
2042
    X"be0d548ff2db", -- 1985
2043
    X"85ffca84ffba", -- 1986
2044
    X"044005c00021", -- 1987
2045
    X"084008044c0e", -- 1988
2046
    X"07760287f3da", -- 1989
2047
    X"0290008f79fb", -- 1990
2048
    X"022706d77fee", -- 1991
2049
    X"c0f969c02130", -- 1992
2050
    X"c000abc0f99a", -- 1993
2051
    X"d102ec80f3ea", -- 1994
2052
    X"11100182100a", -- 1995
2053
    X"12200180200b", -- 1996
2054
    X"80000a80f3da", -- 1997
2055
    X"80100bd102f7", -- 1998
2056
    X"80001a80f3da", -- 1999
2057
    X"80101bd102f7", -- 2000
2058
    X"c0fa89c10258", -- 2001
2059
    X"376001c0fcaa", -- 2002
2060
    X"d7000100701e", -- 2003
2061
    X"8ff8fb80f90b", -- 2004
2062
    X"00060210f011", -- 2005
2063
    X"80000a000706", -- 2006
2064
    X"10f01c8ff0eb", -- 2007
2065
    X"000706000602", -- 2008
2066
    X"8ff0db80000a", -- 2009
2067
    X"80ffbabe0d3d", -- 2010
2068
    X"81fc0b81ffca", -- 2011
2069
    X"0cc7021cf01c", -- 2012
2070
    X"6cc0018cc00a", -- 2013
2071
    X"03370213f011", -- 2014
2072
    X"d2000083300a", -- 2015
2073
    X"8cf10a022c03", -- 2016
2074
    X"000008000203", -- 2017
2075
    X"02270212f032", -- 2018
2076
    X"13f02780200b", -- 2019
2077
    X"80310b033702", -- 2020
2078
    X"844043177001", -- 2021
2079
    X"12f032044008", -- 2022
2080
    X"80240b022602", -- 2023
2081
    X"03360213f027", -- 2024
2082
    X"80f90b80350b", -- 2025
2083
    X"8ff9eb8ff8fb", -- 2026
2084
    X"be0d3d8ff8db", -- 2027
2085
    X"81ffca80ffba", -- 2028
2086
    X"d2ffff000001", -- 2029
2087
    X"002007d37fff", -- 2030
2088
    X"80fb0b000008", -- 2031
2089
    X"8ff1eb8ffafb", -- 2032
2090
    X"be0d3d8ff0db", -- 2033
2091
    X"81ffca80ffba", -- 2034
2092
    X"00070e070012", -- 2035
2093
    X"0cc7020a0008", -- 2036
2094
    X"c0ffcac0ff59", -- 2037
2095
    X"12f03200601e", -- 2038
2096
    X"13f027122001", -- 2039
2097
    X"14f01c133001", -- 2040
2098
    X"15f011144001", -- 2041
2099
    X"87200a155001", -- 2042
2100
    X"80470b122001", -- 2043
2101
    X"87300a144001", -- 2044
2102
    X"80570b133001", -- 2045
2103
    X"166001155001", -- 2046
2104
    X"177001d7000a", -- 2047
2105
    X"c0f538806728", -- 2048
2106
    X"d6100087f3ea", -- 2049
2107
    X"c1010980760b", -- 2050
2108
    X"c000abc101ca", -- 2051
2109
    X"12200112f01c", -- 2052
2110
    X"13300113f011", -- 2053
2111
    X"14400184f3ea", -- 2054
2112
    X"155001d502ec", -- 2055
2113
    X"12200180200a", -- 2056
2114
    X"8d300a600001", -- 2057
2115
    X"dc0000133001", -- 2058
2116
    X"800011000c03", -- 2059
2117
    X"80400b000009", -- 2060
2118
    X"80500b144001", -- 2061
2119
    X"80f3da155001", -- 2062
2120
    X"d102f780000a", -- 2063
2121
    X"80f3da80100b", -- 2064
2122
    X"d102f780001a", -- 2065
2123
    X"80f01a80101b", -- 2066
2124
    X"82f03a81f02a", -- 2067
2125
    X"84f05a83f04a", -- 2068
2126
    X"86f07a85f06a", -- 2069
2127
    X"88f09a87f08a", -- 2070
2128
    X"8af0ba89f0aa", -- 2071
2129
    X"8cf0da8bf0ca", -- 2072
2130
    X"8ef0fa8df0ea", -- 2073
2131
    X"00e01a1ff040", -- 2074
2132
    X"80f01b3ff00f", -- 2075
2133
    X"80f23b80f12b", -- 2076
2134
    X"80f45b80f34b", -- 2077
2135
    X"80f67b80f56b", -- 2078
2136
    X"80f89b80f78b", -- 2079
2137
    X"80fabb80f9ab", -- 2080
2138
    X"d1000080f0da", -- 2081
2139
    X"d20000830109", -- 2082
2140
    X"84f0fad30080", -- 2083
2141
    X"000023604100", -- 2084
2142
    X"84401a84f0ea", -- 2085
2143
    X"004513d51000", -- 2086
2144
    X"c10559040008", -- 2087
2145
    X"d80005c1066a", -- 2088
2146
    X"00801e388002", -- 2089
2147
    X"89f0fad80002", -- 2090
2148
    X"800011004917", -- 2091
2149
    X"d98000000023", -- 2092
2150
    X"a02001003913", -- 2093
2151
    X"09980289f0ea", -- 2094
2152
    X"da100089900a", -- 2095
2153
    X"060008009a13", -- 2096
2154
    X"135000124000", -- 2097
2155
    X"157000146000", -- 2098
2156
    X"89f0fa188001", -- 2099
2157
    X"000023004917", -- 2100
2158
    X"003913d98000", -- 2101
2159
    X"89f0eaa02001", -- 2102
2160
    X"89900a099802", -- 2103
2161
    X"009a13da0800", -- 2104
2162
    X"c10a28800071", -- 2105
2163
    X"d30100d20000", -- 2106
2164
    X"60420084f0fa", -- 2107
2165
    X"84f0ea000023", -- 2108
2166
    X"d5100084401a", -- 2109
2167
    X"040008004513", -- 2110
2168
    X"c1095ac10849", -- 2111
2169
    X"388002d80005", -- 2112
2170
    X"d8000200801e", -- 2113
2171
    X"00491789f0fa", -- 2114
2172
    X"000023800011", -- 2115
2173
    X"003913d98000", -- 2116
2174
    X"89f0eaa02001", -- 2117
2175
    X"89900a099802", -- 2118
2176
    X"009a13da1000", -- 2119
2177
    X"124000060008", -- 2120
2178
    X"146000135000", -- 2121
2179
    X"188001157000", -- 2122
2180
    X"00491789f0fa", -- 2123
2181
    X"d98000000023", -- 2124
2182
    X"a02001003913", -- 2125
2183
    X"09980289f0ea", -- 2126
2184
    X"da080089900a", -- 2127
2185
    X"800061009a13", -- 2128
2186
    X"80f01a80f1cb", -- 2129
2187
    X"82f03a81f02a", -- 2130
2188
    X"84f05a83f04a", -- 2131
2189
    X"86f07a85f06a", -- 2132
2190
    X"88f09a87f08a", -- 2133
2191
    X"8af0ba89f0aa", -- 2134
2192
    X"00e01a1ff00f", -- 2135
2193
    X"80f01b3ff024", -- 2136
2194
    X"80f23b80f12b", -- 2137
2195
    X"80f45b80f34b", -- 2138
2196
    X"80f67b80f56b", -- 2139
2197
    X"80f89b80f78b", -- 2140
2198
    X"80fabb80f9ab", -- 2141
2199
    X"80fcdb80fbcb", -- 2142
2200
    X"80fefb80fdeb", -- 2143
2201
    X"dd0001dc0000", -- 2144
2202
    X"81f10bd10800", -- 2145
2203
    X"c10c9981f16b", -- 2146
2204
    X"c0005bc10f9a", -- 2147
2205
    X"000021d40000", -- 2148
2206
    X"00040280f22a", -- 2149
2207
    X"d1400080001a", -- 2150
2208
    X"82f22a00010b", -- 2151
2209
    X"8220aa022406", -- 2152
2210
    X"000023d34000", -- 2153
2211
    X"020020002313", -- 2154
2212
    X"dc0001c02020", -- 2155
2213
    X"12f010000021", -- 2156
2214
    X"83200a022402", -- 2157
2215
    X"80231b031306", -- 2158
2216
    X"c02020020020", -- 2159
2217
    X"000021dc0001", -- 2160
2218
    X"00040280f22a", -- 2161
2219
    X"d1400080001a", -- 2162
2220
    X"82f22a00010b", -- 2163
2221
    X"8220aa022406", -- 2164
2222
    X"000023d34000", -- 2165
2223
    X"020020002314", -- 2166
2224
    X"dc0001c02020", -- 2167
2225
    X"12f016000021", -- 2168
2226
    X"83200a022402", -- 2169
2227
    X"80231b031302", -- 2170
2228
    X"c02020020020", -- 2171
2229
    X"144001dc0001", -- 2172
2230
    X"dd0000c0c2b0", -- 2173
2231
    X"81f10bd10400", -- 2174
2232
    X"c1103981f16b", -- 2175
2233
    X"c0005bc1124a", -- 2176
2234
    X"000021d40000", -- 2177
2235
    X"00040280f22a", -- 2178
2236
    X"d1200080001a", -- 2179
2237
    X"82f22a00010b", -- 2180
2238
    X"8220aa022406", -- 2181
2239
    X"000023d32000", -- 2182
2240
    X"12f010002313", -- 2183
2241
    X"83200a022402", -- 2184
2242
    X"80231b031306", -- 2185
2243
    X"00040280f22a", -- 2186
2244
    X"d1200080001a", -- 2187
2245
    X"82f22a00010b", -- 2188
2246
    X"8220aa022406", -- 2189
2247
    X"000023d32000", -- 2190
2248
    X"12f016002314", -- 2191
2249
    X"83200a022402", -- 2192
2250
    X"80231b031302", -- 2193
2251
    X"d00000144001", -- 2194
2252
    X"12f010d10000", -- 2195
2253
    X"83300ad316b7", -- 2196
2254
    X"8ff2fb80f30b", -- 2197
2255
    X"be10368ffdeb", -- 2198
2256
    X"d5000084ffda", -- 2199
2257
    X"8407e8d7000a", -- 2200
2258
    X"8457c8d70033", -- 2201
2259
    X"163000155001", -- 2202
2260
    X"d316b7174000", -- 2203
2261
    X"83300a033502", -- 2204
2262
    X"8ff2fb80f30b", -- 2205
2263
    X"be10368ffdeb", -- 2206
2264
    X"08470b84ffda", -- 2207
2265
    X"c11449c083e3", -- 2208
2266
    X"c0002bc1153a", -- 2209
2267
    X"8b60128a3012", -- 2210
2268
    X"80fc0b0cab02", -- 2211
2269
    X"8ffdeb8ff2fb", -- 2212
2270
    X"8affdabe1036", -- 2213
2271
    X"c08043084a0b", -- 2214
2272
    X"16c00017a000", -- 2215
2273
    X"14a000c11538", -- 2216
2274
    X"00002413c000", -- 2217
2275
    X"077406066306", -- 2218
2276
    X"807839d80000", -- 2219
2277
    X"c116d8183000", -- 2220
2278
    X"077000197000", -- 2221
2279
    X"077c0d0c7011", -- 2222
2280
    X"80f80bd83fff", -- 2223
2281
    X"be00cf8ff7fb", -- 2224
2282
    X"0a670b87ffea", -- 2225
2283
    X"088c06d80014", -- 2226
2284
    X"17a0000aa810", -- 2227
2285
    X"077004c09026", -- 2228
2286
    X"8aa0b30a470b", -- 2229
2287
    X"87f23a083a06", -- 2230
2288
    X"80780b077002", -- 2231
2289
    X"100001138000", -- 2232
2290
    X"801749d70000", -- 2233
2291
    X"12f016d10001", -- 2234
2292
    X"d10000c11798", -- 2235
2293
    X"80f30b12f010", -- 2236
2294
    X"8ffdeb8ff2fb", -- 2237
2295
    X"84ffdabe1036", -- 2238
2296
    X"37000ac11308", -- 2239
2297
    X"c11869c070a6", -- 2240
2298
    X"c000abc1189a", -- 2241
2299
    X"81f23a80f24a", -- 2242
2300
    X"10000182000a", -- 2243
2301
    X"11100180120b", -- 2244
2302
    X"81f02a80f01a", -- 2245
2303
    X"83f04a82f03a", -- 2246
2304
    X"85f06a84f05a", -- 2247
2305
    X"87f08a86f07a", -- 2248
2306
    X"89f0aa88f09a", -- 2249
2307
    X"8bf0ca8af0ba", -- 2250
2308
    X"8df0ea8cf0da", -- 2251
2309
    X"1ff0248ef0fa", -- 2252
2310
    X"3ff00b00e01a", -- 2253
2311
    X"80f12b80f01b", -- 2254
2312
    X"80f34b80f23b", -- 2255
2313
    X"80f56b80f45b", -- 2256
2314
    X"80f78b80f67b", -- 2257
2315
    X"d0003f80f89b", -- 2258
2316
    X"c11c6ac11b19", -- 2259
2317
    X"d10d85c000ab", -- 2260
2318
    X"82f0ba11103f", -- 2261
2319
    X"32200112200a", -- 2262
2320
    X"13300a83f0aa", -- 2263
2321
    X"85200a333001", -- 2264
2322
    X"04450684100a", -- 2265
2323
    X"300001c04056", -- 2266
2324
    X"c00020311001", -- 2267
2325
    X"84100ac11b28", -- 2268
2326
    X"d60e05045406", -- 2269
2327
    X"86600a066002", -- 2270
2328
    X"8660c306640b", -- 2271
2329
    X"056402840090", -- 2272
2330
    X"05540ad46488", -- 2273
2331
    X"32200180350b", -- 2274
2332
    X"80f01a333001", -- 2275
2333
    X"82f03a81f02a", -- 2276
2334
    X"84f05a83f04a", -- 2277
2335
    X"86f07a85f06a", -- 2278
2336
    X"88f09a87f08a", -- 2279
2337
    X"00e01a1ff00b", -- 2280
2338
    X"80f01b3ff016", -- 2281
2339
    X"80f23b80f12b", -- 2282
2340
    X"80f45b80f34b", -- 2283
2341
    X"80f67b80f56b", -- 2284
2342
    X"80f89b80f78b", -- 2285
2343
    X"80f16a80f9ab", -- 2286
2344
    X"d1040580001a", -- 2287
2345
    X"011202d22000", -- 2288
2346
    X"80f0bb000106", -- 2289
2347
    X"c11f4ac11ee9", -- 2290
2348
    X"300002d0000a", -- 2291
2349
    X"d0000100001e", -- 2292
2350
    X"14400114f00b", -- 2293
2351
    X"d6200085f16a", -- 2294
2352
    X"83500a82502a", -- 2295
2353
    X"012306155001", -- 2296
2354
    X"80410b011606", -- 2297
2355
    X"d15c7d144001", -- 2298
2356
    X"83500a011606", -- 2299
2357
    X"011306155001", -- 2300
2358
    X"c1202980410b", -- 2301
2359
    X"c000abc120fa", -- 2302
2360
    X"85f15a14f00b", -- 2303
2361
    X"d70800d65000", -- 2304
2362
    X"14400180400a", -- 2305
2363
    X"80570bc00040", -- 2306
2364
    X"c120f8155001", -- 2307
2365
    X"82202102000b", -- 2308
2366
    X"82202102360b", -- 2309
2367
    X"80510b013702", -- 2310
2368
    X"000024155001", -- 2311
2369
    X"80504a85f15a", -- 2312
2370
    X"02060bd64ccd", -- 2313
2371
    X"80534b822011", -- 2314
2372
    X"02060b80505a", -- 2315
2373
    X"80535b822011", -- 2316
2374
    X"c121f9d00000", -- 2317
2375
    X"c000abc1224a", -- 2318
2376
    X"81500a85f15a", -- 2319
2377
    X"021006155001", -- 2320
2378
    X"101000c02020", -- 2321
2379
    X"000011000024", -- 2322
2380
    X"c122dac122a9", -- 2323
2381
    X"85f15ac000ab", -- 2324
2382
    X"01100d81500a", -- 2325
2383
    X"15500180510b", -- 2326
2384
    X"81f02a80f01a", -- 2327
2385
    X"83f04a82f03a", -- 2328
2386
    X"85f06a84f05a", -- 2329
2387
    X"87f08a86f07a", -- 2330
2388
    X"89f0aa88f09a", -- 2331
2389
    X"00e01a1ff016", -- 2332
2390
    X"80f02b3ff017", -- 2333
2391
    X"80f24b80f13b", -- 2334
2392
    X"80f46b80f35b", -- 2335
2393
    X"80f68b80f57b", -- 2336
2394
    X"80f8ab80f79b", -- 2337
2395
    X"80f00b80f17a", -- 2338
2396
    X"8ff0fb10f00b", -- 2339
2397
    X"be11d280fe1b", -- 2340
2398
    X"80f00b80f17a", -- 2341
2399
    X"8ff0fb10f00b", -- 2342
2400
    X"8ff0eb80f16a", -- 2343
2401
    X"8ff0db80f15a", -- 2344
2402
    X"8ef01abe00fd", -- 2345
2403
    X"81f03a80f02a", -- 2346
2404
    X"83f05a82f04a", -- 2347
2405
    X"85f07a84f06a", -- 2348
2406
    X"87f09a86f08a", -- 2349
2407
    X"1ff01788f0aa", -- 2350
2408
    X"3ff01a00e01a", -- 2351
2409
    X"80f12b80f01b", -- 2352
2410
    X"80f1aa80fe3b", -- 2353
2411
    X"10f00e80f00b", -- 2354
2412
    X"be119b8ff0fb", -- 2355
2413
    X"80f00b10f00e", -- 2356
2414
    X"8ff0fb10f004", -- 2357
2415
    X"8ff0ebd00690", -- 2358
2416
    X"10f004be123a", -- 2359
2417
    X"80f19a80f00b", -- 2360
2418
    X"be05948ff0fb", -- 2361
2419
    X"100002d00690", -- 2362
2420
    X"80100b81f18a", -- 2363
2421
    X"81f02a80f01a", -- 2364
2422
    X"1ff01a8ef03a", -- 2365
2423
    X"3ff0f300e01a", -- 2366
2424
    X"80f02b80fe1b", -- 2367
2425
    X"80f24b80f13b", -- 2368
2426
    X"80f46b80f35b", -- 2369
2427
    X"80f68b80f57b", -- 2370
2428
    X"80f8ab80f79b", -- 2371
2429
    X"80facb80f9bb", -- 2372
2430
    X"d00000000021", -- 2373
2431
    X"000023d10000", -- 2374
2432
    X"82200a12f0f3", -- 2375
2433
    X"d0008f32208f", -- 2376
2434
    X"800012100050", -- 2377
2435
    X"c12989100001", -- 2378
2436
    X"00001ec129aa", -- 2379
2437
    X"12200283200a", -- 2380
2438
    X"020020003313", -- 2381
2439
    X"c12a69c02100", -- 2382
2440
    X"d3008fc12aaa", -- 2383
2441
    X"00301e133050", -- 2384
2442
    X"82200a12f0f3", -- 2385
2443
    X"13f00e32208f", -- 2386
2444
    X"12200184200a", -- 2387
2445
    X"80340b844032", -- 2388
2446
    X"c12cc8133001", -- 2389
2447
    X"d70010d60000", -- 2390
2448
    X"c06107060607", -- 2391
2449
    X"c12bdac12b99", -- 2392
2450
    X"133050d3008f", -- 2393
2451
    X"12f0f300301e", -- 2394
2452
    X"32208f82200a", -- 2395
2453
    X"84200a13f00e", -- 2396
2454
    X"844030122001", -- 2397
2455
    X"13300180340b", -- 2398
2456
    X"c12c89c12cc8", -- 2399
2457
    X"d3008fc12cba", -- 2400
2458
    X"00301e133050", -- 2401
2459
    X"82200a12f0f3", -- 2402
2460
    X"13f00e32208f", -- 2403
2461
    X"12200184200a", -- 2404
2462
    X"13300180340b", -- 2405
2463
    X"d78000d60000", -- 2406
2464
    X"da0028d00014", -- 2407
2465
    X"13308f13f00e", -- 2408
2466
    X"12208f12f00e", -- 2409
2467
    X"d40000022006", -- 2410
2468
    X"004023d50000", -- 2411
2469
    X"c12dfac12db9", -- 2412
2470
    X"84300ac0028b", -- 2413
2471
    X"13300285200a", -- 2414
2472
    X"044513122002", -- 2415
2473
    X"c08041084607", -- 2416
2474
    X"11f0f2264000", -- 2417
2475
    X"10000180100b", -- 2418
2476
    X"d400018e0aa9", -- 2419
2477
    X"004023d50000", -- 2420
2478
    X"81100a11f0f2", -- 2421
2479
    X"12208f12f00e", -- 2422
2480
    X"c12f29022106", -- 2423
2481
    X"c0028bc12f4a", -- 2424
2482
    X"04441384200a", -- 2425
2483
    X"80f50b122002", -- 2426
2484
    X"be06a48ff4fb", -- 2427
2485
    X"84ffda85ffea", -- 2428
2486
    X"044008066008", -- 2429
2487
    X"8ff6fb80f70b", -- 2430
2488
    X"8ff4db8ff5eb", -- 2431
2489
    X"85ffcabe0d3d", -- 2432
2490
    X"1bf0f184ffba", -- 2433
2491
    X"d6000080b40b", -- 2434
2492
    X"d00028d78000", -- 2435
2493
    X"d40000da0050", -- 2436
2494
    X"004023d50000", -- 2437
2495
    X"12208f12f00e", -- 2438
2496
    X"13308f13f00e", -- 2439
2497
    X"c13149033006", -- 2440
2498
    X"c0014bc131ba", -- 2441
2499
    X"85300a84200a", -- 2442
2500
    X"89302a88202a", -- 2443
2501
    X"133004122004", -- 2444
2502
    X"048913044513", -- 2445
2503
    X"084607000024", -- 2446
2504
    X"264000c08041", -- 2447
2505
    X"80100b11f0f0", -- 2448
2506
    X"8e0a69100001", -- 2449
2507
    X"d40001266000", -- 2450
2508
    X"004023d50000", -- 2451
2509
    X"81100a11f0f0", -- 2452
2510
    X"12208f12f00e", -- 2453
2511
    X"c13309022106", -- 2454
2512
    X"c0028bc1332a", -- 2455
2513
    X"12200284200a", -- 2456
2514
    X"80f50b044413", -- 2457
2515
    X"be06a48ff4fb", -- 2458
2516
    X"84ffda85ffea", -- 2459
2517
    X"044008066008", -- 2460
2518
    X"8ff6fb80f70b", -- 2461
2519
    X"8ff4db8ff5eb", -- 2462
2520
    X"85ffcabe0d3d", -- 2463
2521
    X"1bf0ef84ffba", -- 2464
2522
    X"d6000080b40b", -- 2465
2523
    X"d00050d78000", -- 2466
2524
    X"d40000da0090", -- 2467
2525
    X"004023d50000", -- 2468
2526
    X"12208f12f00e", -- 2469
2527
    X"13308f13f00e", -- 2470
2528
    X"c13529033006", -- 2471
2529
    X"c0014bc1359a", -- 2472
2530
    X"85300a84200a", -- 2473
2531
    X"89302a88202a", -- 2474
2532
    X"133004122004", -- 2475
2533
    X"048913044513", -- 2476
2534
    X"084607000024", -- 2477
2535
    X"264000c08041", -- 2478
2536
    X"80100b11f0ee", -- 2479
2537
    X"8e0a69100002", -- 2480
2538
    X"80000a10f0ee", -- 2481
2539
    X"d50000d40000", -- 2482
2540
    X"12f00e004023", -- 2483
2541
    X"13f00e12208f", -- 2484
2542
    X"03300613308f", -- 2485
2543
    X"c13709333001", -- 2486
2544
    X"c0028bc1374a", -- 2487
2545
    X"85300a84200a", -- 2488
2546
    X"122002044513", -- 2489
2547
    X"084607133002", -- 2490
2548
    X"264000c08051", -- 2491
2549
    X"14000111f0ee", -- 2492
2550
    X"d4000080140b", -- 2493
2551
    X"004023d50000", -- 2494
2552
    X"12208f12f00e", -- 2495
2553
    X"13308f13f00e", -- 2496
2554
    X"133001033006", -- 2497
2555
    X"c138bac13879", -- 2498
2556
    X"84200ac0028b", -- 2499
2557
    X"04451385300a", -- 2500
2558
    X"133002122002", -- 2501
2559
    X"c08051084607", -- 2502
2560
    X"11f0ee264000", -- 2503
2561
    X"80140b340001", -- 2504
2562
    X"d50000d40001", -- 2505
2563
    X"11f0ee004023", -- 2506
2564
    X"12f00e81100a", -- 2507
2565
    X"02210612208f", -- 2508
2566
    X"c139fac139d9", -- 2509
2567
    X"84200ac0028b", -- 2510
2568
    X"122002044413", -- 2511
2569
    X"8ff4fb80f50b", -- 2512
2570
    X"85ffeabe06a4", -- 2513
2571
    X"06600884ffda", -- 2514
2572
    X"80f70b044008", -- 2515
2573
    X"8ff5eb8ff6fb", -- 2516
2574
    X"be0d3d8ff4db", -- 2517
2575
    X"84ffba85ffca", -- 2518
2576
    X"80b40b1bf0eb", -- 2519
2577
    X"80b00a1bf0f0", -- 2520
2578
    X"1bf0ee800010", -- 2521
2579
    X"02010681b00a", -- 2522
2580
    X"333005032000", -- 2523
2581
    X"1bf0efc03096", -- 2524
2582
    X"1bf0eb83b00a", -- 2525
2583
    X"84402284b00a", -- 2526
2584
    X"1bf0ef033402", -- 2527
2585
    X"1bf0f080b30b", -- 2528
2586
    X"02200280b00a", -- 2529
2587
    X"333007032000", -- 2530
2588
    X"1bf0efc03096", -- 2531
2589
    X"1bf0eb83b00a", -- 2532
2590
    X"84402284b00a", -- 2533
2591
    X"1bf0ef033402", -- 2534
2592
    X"1bf0f280b30b", -- 2535
2593
    X"80001080b00a", -- 2536
2594
    X"81b00a1bf0f0", -- 2537
2595
    X"032000020106", -- 2538
2596
    X"c030a6333005", -- 2539
2597
    X"83b00a1bf0f1", -- 2540
2598
    X"84b00a1bf0ef", -- 2541
2599
    X"044b0adb199a", -- 2542
2600
    X"1bf0f1033402", -- 2543
2601
    X"1bf0f280b30b", -- 2544
2602
    X"02200280b00a", -- 2545
2603
    X"333007032000", -- 2546
2604
    X"1bf0f1c030a6", -- 2547
2605
    X"1bf0ef83b00a", -- 2548
2606
    X"db199a84b00a", -- 2549
2607
    X"033402044b0a", -- 2550
2608
    X"80b30b1bf0f1", -- 2551
2609
    X"80b00a1bf0f1", -- 2552
2610
    X"81b00a1bf0ef", -- 2553
2611
    X"c02076020106", -- 2554
2612
    X"80b10b1bf0f1", -- 2555
2613
    X"82b00a1bf0f0", -- 2556
2614
    X"80b20b1bf0f2", -- 2557
2615
    X"81b00a1bf0eb", -- 2558
2616
    X"80b00a1bf0f1", -- 2559
2617
    X"c02056020106", -- 2560
2618
    X"82b00a1bf0ee", -- 2561
2619
    X"80b20b1bf0f2", -- 2562
2620
    X"80f02a8ef01a", -- 2563
2621
    X"82f04a81f03a", -- 2564
2622
    X"84f06a83f05a", -- 2565
2623
    X"86f08a85f07a", -- 2566
2624
    X"88f0aa87f09a", -- 2567
2625
    X"8af0ca89f0ba", -- 2568
2626
    X"00e01a1ff0f3", -- 2569
2627
    X"d106b1d0069b", -- 2570
2628
    X"d40000d307c5", -- 2571
2629
    X"00001d80340b", -- 2572
2630
    X"10000110000a", -- 2573
2631
    X"11100111100a", -- 2574
2632
    X"82300ad307c5", -- 2575
2633
    X"80320b122028", -- 2576
2634
    X"d306c700001d", -- 2577
2635
    X"80340bd41000", -- 2578
2636
    X"d40028133001", -- 2579
2637
    X"c142d9344001", -- 2580
2638
    X"00401ec142ea", -- 2581
2639
    X"80340bd40000", -- 2582
2640
    X"80f10b133001", -- 2583
2641
    X"8ff3fbd306c7", -- 2584
2642
    X"d300288ff3eb", -- 2585
2643
    X"d306c78ff3db", -- 2586
2644
    X"8ff3cb133001", -- 2587
2645
    X"8ff3bbd30000", -- 2588
2646
    X"d306c7be0075", -- 2589
2647
    X"d307ce80f30b", -- 2590
2648
    X"d300288ff3fb", -- 2591
2649
    X"be00008ff3eb", -- 2592
2650
    X"d207c580f10b", -- 2593
2651
    X"d3026982200a", -- 2594
2652
    X"8ff3fb033202", -- 2595
2653
    X"8ff3ebd306ef", -- 2596
2654
    X"8ff3dbd30028", -- 2597
2655
    X"8ff3cbd302cd", -- 2598
2656
    X"8ff3bbd30000", -- 2599
2657
    X"d306efbe0075", -- 2600
2658
    X"d307ce80f30b", -- 2601
2659
    X"8ff3fb133028", -- 2602
2660
    X"8ff3ebd30028", -- 2603
2661
    X"d207c5be0000", -- 2604
2662
    X"d3026982200a", -- 2605
2663
    X"80f30b033202", -- 2606
2664
    X"8ff3fbd306ef", -- 2607
2665
    X"8ff3ebd306c7", -- 2608
2666
    X"83300ad307c3", -- 2609
2667
    X"d307c48ff3db", -- 2610
2668
    X"8ff3cb83300a", -- 2611
2669
    X"82200ad207c5", -- 2612
2670
    X"be15928ff2bb", -- 2613
2671
    X"d2068e83ff9a", -- 2614
2672
    X"84ffaa80230b", -- 2615
2673
    X"80240bd2068f", -- 2616
2674
    X"8ff4fb80f30b", -- 2617
2675
    X"8ff3ebd307c3", -- 2618
2676
    X"8ff3dbd307c4", -- 2619
2677
    X"82200ad207c5", -- 2620
2678
    X"be16918ff2cb", -- 2621
2679
    X"d307c684ffba", -- 2622
2680
    X"80240b82300a", -- 2623
2681
    X"80320b122001", -- 2624
2682
    X"d207c5d30269", -- 2625
2683
    X"03320282200a", -- 2626
2684
    X"c148aac14899", -- 2627
2685
    X"82300ac0028b", -- 2628
2686
    X"d20000133001", -- 2629
2687
    X"83300ad307c5", -- 2630
2688
    X"80f40b803299", -- 2631
2689
    X"84fffabe16c3", -- 2632
2690
    X"82300ad307c6", -- 2633
2691
    X"12200180240b", -- 2634
2692
    X"d3026980320b", -- 2635
2693
    X"82200ad207c5", -- 2636
2694
    X"c149e9033202", -- 2637
2695
    X"c0028bc149fa", -- 2638
2696
    X"13300182300a", -- 2639
2697
    X"d3026980f10b", -- 2640
2698
    X"82200ad207c5", -- 2641
2699
    X"8ff3fb033202", -- 2642
2700
    X"8ff3ebd3073f", -- 2643
2701
    X"8ff3dbd30028", -- 2644
2702
    X"8ff3cbd302e1", -- 2645
2703
    X"8ff3bbd30000", -- 2646
2704
    X"d306efbe0075", -- 2647
2705
    X"d3073f80f30b", -- 2648
2706
    X"d307c78ff3fb", -- 2649
2707
    X"be16de8ff3eb", -- 2650
2708
    X"d307cb84ffda", -- 2651
2709
    X"d3068e80340b", -- 2652
2710
    X"80f30b83300a", -- 2653
2711
    X"83300ad3068f", -- 2654
2712
    X"be17608ff3fb", -- 2655
2713
    X"d307cd85ffea", -- 2656
2714
    X"d2000180350b", -- 2657
2715
    X"d53ccc805279", -- 2658
2716
    X"c05040054506", -- 2659
2717
    X"d307cbd43ccc", -- 2660
2718
    X"d2073f80340b", -- 2661
2719
    X"133050d307ce", -- 2662
2720
    X"d306ef80342b", -- 2663
2721
    X"c14d49d50717", -- 2664
2722
    X"c0028bc14dca", -- 2665
2723
    X"12200186200a", -- 2666
2724
    X"13300187300a", -- 2667
2725
    X"88801108640b", -- 2668
2726
    X"80590b097906", -- 2669
2727
    X"d30717155001", -- 2670
2728
    X"d306c780f30b", -- 2671
2729
    X"d3068e8ff3fb", -- 2672
2730
    X"8ff3eb83300a", -- 2673
2731
    X"83300ad302eb", -- 2674
2732
    X"d3065c8ff3db", -- 2675
2733
    X"d307678ff3cb", -- 2676
2734
    X"be17a48ff3bb", -- 2677
2735
    X"85ffaa84ff9a", -- 2678
2736
    X"82300ad307c6", -- 2679
2737
    X"12200180240b", -- 2680
2738
    X"d307c680320b", -- 2681
2739
    X"80250b82300a", -- 2682
2740
    X"80320b122001", -- 2683
2741
    X"84200ad207c7", -- 2684
2742
    X"80340bd3078f", -- 2685
2743
    X"04400484201a", -- 2686
2744
    X"80340bd30794", -- 2687
2745
    X"04400484202a", -- 2688
2746
    X"80341bd3078f", -- 2689
2747
    X"14400184203a", -- 2690
2748
    X"d30794044004", -- 2691
2749
    X"d306ef80341b", -- 2692
2750
    X"d3073f80f30b", -- 2693
2751
    X"d307678ff3fb", -- 2694
2752
    X"d3078f8ff3eb", -- 2695
2753
    X"d307948ff3db", -- 2696
2754
    X"be1d878ff3cb", -- 2697
2755
    X"80f30bd3065c", -- 2698
2756
    X"8ff3fbd3078f", -- 2699
2757
    X"8ff3ebd30794", -- 2700
2758
    X"83300ad307cd", -- 2701
2759
    X"be1ee18ff3bb", -- 2702
2760
    X"d207cb83ffda", -- 2703
2761
    X"83ffca80230b", -- 2704
2762
    X"80230bd207cc", -- 2705
2763
    X"d307c684ffaa", -- 2706
2764
    X"80240b82300a", -- 2707
2765
    X"80320b122001", -- 2708
2766
    X"82200ad207cb", -- 2709
2767
    X"d432d9d302eb", -- 2710
2768
    X"c04030042406", -- 2711
2769
    X"c15368d232d9", -- 2712
2770
    X"042406d40ccd", -- 2713
2771
    X"d20ccdc04026", -- 2714
2772
    X"c1545980320b", -- 2715
2773
    X"c0028bc154ea", -- 2716
2774
    X"d307c5d20269", -- 2717
2775
    X"02230283300a", -- 2718
2776
    X"83300ad307cb", -- 2719
2777
    X"84400ad407cc", -- 2720
2778
    X"d50000d7065c", -- 2721
2779
    X"88600a062502", -- 2722
2780
    X"00802308830b", -- 2723
2781
    X"17700188700a", -- 2724
2782
    X"888011088413", -- 2725
2783
    X"80680b088009", -- 2726
2784
    X"d207cb166001", -- 2727
2785
    X"80f20b82200a", -- 2728
2786
    X"82200ad2068e", -- 2729
2787
    X"be1e828ff2fb", -- 2730
2788
    X"c1575ac15679", -- 2731
2789
    X"d207cbc000ab", -- 2732
2790
    X"d307cc82200a", -- 2733
2791
    X"d4073f83300a", -- 2734
2792
    X"34400a144028", -- 2735
2793
    X"155028d50767", -- 2736
2794
    X"d606ef35500a", -- 2737
2795
    X"36600a166028", -- 2738
2796
    X"87400adb02cd", -- 2739
2797
    X"08720b144001", -- 2740
2798
    X"1a9000888011", -- 2741
2799
    X"15500187500a", -- 2742
2800
    X"88802108730b", -- 2743
2801
    X"87600a08a902", -- 2744
2802
    X"087806166001", -- 2745
2803
    X"1bb00180b80b", -- 2746
2804
    X"d1000000001d", -- 2747
2805
    X"80f10b111050", -- 2748
2806
    X"8ff1fbd10000", -- 2749
2807
    X"311050d100f0", -- 2750
2808
    X"be00008ff1eb", -- 2751
2809
    X"111050d100f0", -- 2752
2810
    X"d100f080f10b", -- 2753
2811
    X"d1008f8ff1fb", -- 2754
2812
    X"be00008ff1eb", -- 2755
2813
    X"111050d101cf", -- 2756
2814
    X"d101cf80f10b", -- 2757
2815
    X"d1008f8ff1fb", -- 2758
2816
    X"8ff1eb11100b", -- 2759
2817
    X"00001dbe0000", -- 2760
2818
    X"80f01b3ff063", -- 2761
2819
    X"80f23b80f12b", -- 2762
2820
    X"80f45b80f34b", -- 2763
2821
    X"80f67b80f56b", -- 2764
2822
    X"80f89b80f78b", -- 2765
2823
    X"80febb80f9ab", -- 2766
2824
    X"80f00b80f61a", -- 2767
2825
    X"8ff0fb80f62a", -- 2768
2826
    X"8ff0eb10f00c", -- 2769
2827
    X"d00000be161e", -- 2770
2828
    X"82f60ad18000", -- 2771
2829
    X"13300183f5fa", -- 2772
2830
    X"80f40b14f00c", -- 2773
2831
    X"04420684f63a", -- 2774
2832
    X"be16778ff4fb", -- 2775
2833
    X"84ffda85ffea", -- 2776
2834
    X"c06041064007", -- 2777
2835
    X"115000104000", -- 2778
2836
    X"122001182000", -- 2779
2837
    X"80f63a8f2329", -- 2780
2838
    X"8ff8fb80f00b", -- 2781
2839
    X"8ff0ebd00000", -- 2782
2840
    X"10f00cbe0552", -- 2783
2841
    X"80f63a80f00b", -- 2784
2842
    X"be16778ff0fb", -- 2785
2843
    X"84ffda85ffea", -- 2786
2844
    X"85f0dbd00000", -- 2787
2845
    X"d1000080f5ea", -- 2788
2846
    X"318054800149", -- 2789
2847
    X"c16108c01020", -- 2790
2848
    X"11f03480f63a", -- 2791
2849
    X"c15d6ac15d39", -- 2792
2850
    X"82000ac0028b", -- 2793
2851
    X"80120b100001", -- 2794
2852
    X"80f63a111001", -- 2795
2853
    X"8ff8fb80f00b", -- 2796
2854
    X"8ff0ebd0ffff", -- 2797
2855
    X"10f00cbe0552", -- 2798
2856
    X"80f63a80f00b", -- 2799
2857
    X"be16778ff0fb", -- 2800
2858
    X"86ffda87ffea", -- 2801
2859
    X"c000e1006407", -- 2802
2860
    X"157000146000", -- 2803
2861
    X"85f0dbd0ffff", -- 2804
2862
    X"11f03480f63a", -- 2805
2863
    X"c15f2ac15ef9", -- 2806
2864
    X"82000ac0028b", -- 2807
2865
    X"80120b100001", -- 2808
2866
    X"80f63a111001", -- 2809
2867
    X"8ff8fb80f00b", -- 2810
2868
    X"8ff0ebd00001", -- 2811
2869
    X"10f00cbe0552", -- 2812
2870
    X"80f63a80f00b", -- 2813
2871
    X"be16778ff0fb", -- 2814
2872
    X"86ffda87ffea", -- 2815
2873
    X"c00061006407", -- 2816
2874
    X"157000146000", -- 2817
2875
    X"85f0dbd00001", -- 2818
2876
    X"10f034c16108", -- 2819
2877
    X"c160c981f63a", -- 2820
2878
    X"c0028bc160fa", -- 2821
2879
    X"10000182000a", -- 2822
2880
    X"11100180120b", -- 2823
2881
    X"80f01a85f8cb", -- 2824
2882
    X"82f03a81f02a", -- 2825
2883
    X"84f05a83f04a", -- 2826
2884
    X"86f07a85f06a", -- 2827
2885
    X"88f09a87f08a", -- 2828
2886
    X"8ef0ba89f0aa", -- 2829
2887
    X"00e01a1ff063", -- 2830
2888
    X"80f01b3ff05e", -- 2831
2889
    X"80f23b80f12b", -- 2832
2890
    X"80f45b80f34b", -- 2833
2891
    X"80f67b80f56b", -- 2834
2892
    X"80f89b80f78b", -- 2835
2893
    X"80fabb80f9ab", -- 2836
2894
    X"d10000d00000", -- 2837
2895
    X"d50028d40000", -- 2838
2896
    X"c1644918f00c", -- 2839
2897
    X"82f5eac164ba", -- 2840
2898
    X"03340283f5da", -- 2841
2899
    X"d70000d60000", -- 2842
2900
    X"d90028006023", -- 2843
2901
    X"da0001099406", -- 2844
2902
    X"c0a0600a9a18", -- 2845
2903
    X"87300a86200a", -- 2846
2904
    X"133001122001", -- 2847
2905
    X"899012066713", -- 2848
2906
    X"00901ec090b0", -- 2849
2907
    X"87300a86200a", -- 2850
2908
    X"8a301a89201a", -- 2851
2909
    X"133002122002", -- 2852
2910
    X"069a13066713", -- 2853
2911
    X"80860b000024", -- 2854
2912
    X"80870b188001", -- 2855
2913
    X"066001188001", -- 2856
2914
    X"c02031026007", -- 2857
2915
    X"117000106000", -- 2858
2916
    X"8d45a9144001", -- 2859
2917
    X"332010020012", -- 2860
2918
    X"d20010c03020", -- 2861
2919
    X"023206d30012", -- 2862
2920
    X"81f5ca10f00c", -- 2863
2921
    X"c1669ac16639", -- 2864
2922
    X"84000ac0028b", -- 2865
2923
    X"85000a100001", -- 2866
2924
    X"044210100001", -- 2867
2925
    X"11100180140b", -- 2868
2926
    X"81f02a80f01a", -- 2869
2927
    X"83f04a82f03a", -- 2870
2928
    X"85f06a84f05a", -- 2871
2929
    X"87f08a86f07a", -- 2872
2930
    X"89f0aa88f09a", -- 2873
2931
    X"1ff05e8af0ba", -- 2874
2932
    X"3ff00800e01a", -- 2875
2933
    X"80f12b80f01b", -- 2876
2934
    X"80f34b80f23b", -- 2877
2935
    X"d10000d00000", -- 2878
2936
    X"80f08a000023", -- 2879
2937
    X"c1684981f07a", -- 2880
2938
    X"c0028bc1688a", -- 2881
2939
    X"83100a82000a", -- 2882
2940
    X"111001100001", -- 2883
2941
    X"80f25b022313", -- 2884
2942
    X"80f01a80f36b", -- 2885
2943
    X"82f03a81f02a", -- 2886
2944
    X"1ff00883f04a", -- 2887
2945
    X"3ff01100e01a", -- 2888
2946
    X"80f12b80f01b", -- 2889
2947
    X"80f34b80f23b", -- 2890
2948
    X"80f0dad10000", -- 2891
2949
    X"80f11a8001a8", -- 2892
2950
    X"81100a81f0fa", -- 2893
2951
    X"81f10a020106", -- 2894
2952
    X"122002722003", -- 2895
2953
    X"c16bc8022102", -- 2896
2954
    X"31005580f11a", -- 2897
2955
    X"120070c01030", -- 2898
2956
    X"81f10ac16ab8", -- 2899
2957
    X"32203a720003", -- 2900
2958
    X"330005022102", -- 2901
2959
    X"80130b81f0fa", -- 2902
2960
    X"c01026313014", -- 2903
2961
    X"81f0fad30014", -- 2904
2962
    X"13300980130b", -- 2905
2963
    X"c0105031308f", -- 2906
2964
    X"303009d3008f", -- 2907
2965
    X"80100b81f0fa", -- 2908
2966
    X"80130b81f0ea", -- 2909
2967
    X"80f01a80f2cb", -- 2910
2968
    X"82f03a81f02a", -- 2911
2969
    X"1ff01183f04a", -- 2912
2970
    X"3ff00d00e01a", -- 2913
2971
    X"80f12b80f01b", -- 2914
2972
    X"80f34b80f23b", -- 2915
2973
    X"80f0da80f45b", -- 2916
2974
    X"d10001800012", -- 2917
2975
    X"d30006d20000", -- 2918
2976
    X"d40001800012", -- 2919
2977
    X"011402040418", -- 2920
2978
    X"8f23b9122001", -- 2921
2979
    X"011218d20001", -- 2922
2980
    X"80f01a80f1cb", -- 2923
2981
    X"82f03a81f02a", -- 2924
2982
    X"84f05a83f04a", -- 2925
2983
    X"00e01a1ff00d", -- 2926
2984
    X"80f01b3ff037", -- 2927
2985
    X"80f23b80f12b", -- 2928
2986
    X"80f45b80f34b", -- 2929
2987
    X"80f67b80f56b", -- 2930
2988
    X"80febb80f78b", -- 2931
2989
    X"c16f1ac16ed9", -- 2932
2990
    X"80f36ac0028b", -- 2933
2991
    X"82000a11f00c", -- 2934
2992
    X"822022100001", -- 2935
2993
    X"11100180120b", -- 2936
2994
    X"d40001000021", -- 2937
2995
    X"004023d50000", -- 2938
2996
    X"c16fcac16fa9", -- 2939
2997
    X"80f36ac0028b", -- 2940
2998
    X"10000182000a", -- 2941
2999
    X"000020042213", -- 2942
3000
    X"034012c00052", -- 2943
3001
    X"02400904430e", -- 2944
3002
    X"d40001c17118", -- 2945
3003
    X"004023d50000", -- 2946
3004
    X"c170cac170a9", -- 2947
3005
    X"10f00cc0028b", -- 2948
3006
    X"10000182000a", -- 2949
3007
    X"034012042213", -- 2950
3008
    X"02400904430e", -- 2951
3009
    X"80f35a333004", -- 2952
3010
    X"17300080020b", -- 2953
3011
    X"03300433300f", -- 2954
3012
    X"00002180031b", -- 2955
3013
    X"d50000d40000", -- 2956
3014
    X"c17209004023", -- 2957
3015
    X"c0028bc1724a", -- 2958
3016
    X"81f36a80f37a", -- 2959
3017
    X"10000182000a", -- 2960
3018
    X"11100183100a", -- 2961
3019
    X"000020042313", -- 2962
3020
    X"034012c00052", -- 2963
3021
    X"02400904430e", -- 2964
3022
    X"d40000c173c8", -- 2965
3023
    X"004023d50000", -- 2966
3024
    X"c1737ac17339", -- 2967
3025
    X"80f37ac0028b", -- 2968
3026
    X"82000a11f00c", -- 2969
3027
    X"83100a100001", -- 2970
3028
    X"042313111001", -- 2971
3029
    X"04430e034012", -- 2972
3030
    X"333002024009", -- 2973
3031
    X"80022b80f35a", -- 2974
3032
    X"33300f153000", -- 2975
3033
    X"80033b033004", -- 2976
3034
    X"d3fff1c02052", -- 2977
3035
    X"d6000080033b", -- 2978
3036
    X"822012c17548", -- 2979
3037
    X"81f35a80f20b", -- 2980
3038
    X"8ff1fb81100a", -- 2981
3039
    X"82ffeabe00cf", -- 2982
3040
    X"06230f035706", -- 2983
3041
    X"036306d34ccd", -- 2984
3042
    X"d64ccdc03020", -- 2985
3043
    X"80f01a83f64b", -- 2986
3044
    X"82f03a81f02a", -- 2987
3045
    X"84f05a83f04a", -- 2988
3046
    X"86f07a85f06a", -- 2989
3047
    X"8ef0ba87f08a", -- 2990
3048
    X"00e01a1ff037", -- 2991
3049
    X"80f01b3ff00e", -- 2992
3050
    X"80f23b80f12b", -- 2993
3051
    X"80f45b80f34b", -- 2994
3052
    X"80f67b80f56b", -- 2995
3053
    X"80f89b80f78b", -- 2996
3054
    X"80f0ea80f9ab", -- 2997
3055
    X"c0103081f0da", -- 2998
3056
    X"c17718120001", -- 2999
3057
    X"d00028120000", -- 3000
3058
    X"00200610000a", -- 3001
3059
    X"d00000c00026", -- 3002
3060
    X"033002d3161e", -- 3003
3061
    X"d0000a81300a", -- 3004
3062
    X"002002300002", -- 3005
3063
    X"033002d3161e", -- 3006
3064
    X"d4ffff82300a", -- 3007
3065
    X"132000d5ffff", -- 3008
3066
    X"133001032106", -- 3009
3067
    X"c178c9c030e0", -- 3010
3068
    X"00301ec1791a", -- 3011
3069
    X"d00335d30000", -- 3012
3070
    X"000202022202", -- 3013
3071
    X"87001a86000a", -- 3014
3072
    X"c08021086407", -- 3015
3073
    X"300002246000", -- 3016
3074
    X"d73a98d60000", -- 3017
3075
    X"c08021084607", -- 3018
3076
    X"80f3cbd30001", -- 3019
3077
    X"81f02a80f01a", -- 3020
3078
    X"83f04a82f03a", -- 3021
3079
    X"85f06a84f05a", -- 3022
3080
    X"87f08a86f07a", -- 3023
3081
    X"89f0aa88f09a", -- 3024
3082
    X"00e01a1ff00e", -- 3025
3083
    X"80f01b3ff2a3", -- 3026
3084
    X"80f23b80f12b", -- 3027
3085
    X"80f45b80f34b", -- 3028
3086
    X"80f67b80f56b", -- 3029
3087
    X"80f89b80f78b", -- 3030
3088
    X"80febb80f9ab", -- 3031
3089
    X"00f002d002a0", -- 3032
3090
    X"80001080000a", -- 3033
3091
    X"01f102d102a1", -- 3034
3092
    X"32102881100a", -- 3035
3093
    X"d20028c02146", -- 3036
3094
    X"c17c59022106", -- 3037
3095
    X"00201ec17cba", -- 3038
3096
    X"02f202d202a2", -- 3039
3097
    X"02210282200a", -- 3040
3098
    X"03f302d302a2", -- 3041
3099
    X"84300a83300a", -- 3042
3100
    X"04400a133001", -- 3043
3101
    X"04450285200a", -- 3044
3102
    X"12200180240b", -- 3045
3103
    X"03f302d302a2", -- 3046
3104
    X"80f30b83300a", -- 3047
3105
    X"8ff3fb13f034", -- 3048
3106
    X"d302a2be1819", -- 3049
3107
    X"83300a03f302", -- 3050
3108
    X"d302a380f30b", -- 3051
3109
    X"83300a03f302", -- 3052
3110
    X"13f00c8ff3fb", -- 3053
3111
    X"be161e8ff3eb", -- 3054
3112
    X"80f30b13f00c", -- 3055
3113
    X"8ff3fb13f034", -- 3056
3114
    X"03f302d302a2", -- 3057
3115
    X"8ff3eb83300a", -- 3058
3116
    X"03f302d3029f", -- 3059
3117
    X"8ff3db83300a", -- 3060
3118
    X"03f302d3029e", -- 3061
3119
    X"8ff3cb83300a", -- 3062
3120
    X"86ffbabe1a06", -- 3063
3121
    X"03f302d3029d", -- 3064
3122
    X"86ffaa80360b", -- 3065
3123
    X"03f302d3029c", -- 3066
3124
    X"32102880360b", -- 3067
3125
    X"d20028c02146", -- 3068
3126
    X"c18059022106", -- 3069
3127
    X"00201ec180ba", -- 3070
3128
    X"02f202d2029f", -- 3071
3129
    X"02210282200a", -- 3072
3130
    X"03f302d3029f", -- 3073
3131
    X"84300a83300a", -- 3074
3132
    X"04400a133001", -- 3075
3133
    X"04450285200a", -- 3076
3134
    X"12200180240b", -- 3077
3135
    X"81f02a80f01a", -- 3078
3136
    X"83f04a82f03a", -- 3079
3137
    X"85f06a84f05a", -- 3080
3138
    X"87f08a86f07a", -- 3081
3139
    X"89f0aa88f09a", -- 3082
3140
    X"1ff2a38ef0ba", -- 3083
3141
    X"3ff04700e01a", -- 3084
3142
    X"80f12b80f01b", -- 3085
3143
    X"80f34b80f23b", -- 3086
3144
    X"80f56b80f45b", -- 3087
3145
    X"80f78b80f67b", -- 3088
3146
    X"80f9ab80f89b", -- 3089
3147
    X"80fbcb80fabb", -- 3090
3148
    X"80fdeb80fcdb", -- 3091
3149
    X"d0000080fefb", -- 3092
3150
    X"000023d10000", -- 3093
3151
    X"c1830982f47a", -- 3094
3152
    X"c0028bc1832a", -- 3095
3153
    X"12200183200a", -- 3096
3154
    X"d27d00003313", -- 3097
3155
    X"c020c0021206", -- 3098
3156
    X"14f01082f47a", -- 3099
3157
    X"c183fac183b9", -- 3100
3158
    X"83200ac0028b", -- 3101
3159
    X"833012122001", -- 3102
3160
    X"14400180430b", -- 3103
3161
    X"050012c184d8", -- 3104
3162
    X"82f47a855012", -- 3105
3163
    X"c1848914f010", -- 3106
3164
    X"c0028bc184ca", -- 3107
3165
    X"12200183200a", -- 3108
3166
    X"80430b03350d", -- 3109
3167
    X"10f038144001", -- 3110
3168
    X"80020b82f46a", -- 3111
3169
    X"80021b122008", -- 3112
3170
    X"80022b122008", -- 3113
3171
    X"80023b122008", -- 3114
3172
    X"80024b122008", -- 3115
3173
    X"80025b122008", -- 3116
3174
    X"80026b122040", -- 3117
3175
    X"80027b122040", -- 3118
3176
    X"80028b122040", -- 3119
3177
    X"80029b122040", -- 3120
3178
    X"8002ab122040", -- 3121
3179
    X"8002bb122040", -- 3122
3180
    X"8002cb122040", -- 3123
3181
    X"8002db122040", -- 3124
3182
    X"80500a15f038", -- 3125
3183
    X"300001100008", -- 3126
3184
    X"11100881501a", -- 3127
3185
    X"82502a311001", -- 3128
3186
    X"322001122008", -- 3129
3187
    X"13300883503a", -- 3130
3188
    X"84504a333001", -- 3131
3189
    X"344001144008", -- 3132
3190
    X"d6000015f010", -- 3133
3191
    X"006023d70000", -- 3134
3192
    X"c1899ac18819", -- 3135
3193
    X"88500ac0008b", -- 3136
3194
    X"068813155001", -- 3137
3195
    X"34400180470b", -- 3138
3196
    X"15500188500a", -- 3139
3197
    X"80370b068813", -- 3140
3198
    X"88500a333001", -- 3141
3199
    X"068813155001", -- 3142
3200
    X"32200180270b", -- 3143
3201
    X"15500188500a", -- 3144
3202
    X"80170b068813", -- 3145
3203
    X"88500a311001", -- 3146
3204
    X"068813155001", -- 3147
3205
    X"30000180070b", -- 3148
3206
    X"344001d40040", -- 3149
3207
    X"d80008354001", -- 3150
3208
    X"19f010188001", -- 3151
3209
    X"db00001a9001", -- 3152
3210
    X"83c0ca1cf038", -- 3153
3211
    X"82c09a033402", -- 3154
3212
    X"81c05a022402", -- 3155
3213
    X"80c08a011402", -- 3156
3214
    X"d60000000502", -- 3157
3215
    X"006023d70000", -- 3158
3216
    X"1da0001c9000", -- 3159
3217
    X"0eeb06de0008", -- 3160
3218
    X"c0e2503ee001", -- 3161
3219
    X"c18d7ac18b79", -- 3162
3220
    X"86c00a00e01e", -- 3163
3221
    X"87d00a1cc001", -- 3164
3222
    X"0667131dd001", -- 3165
3223
    X"1cc00186c00a", -- 3166
3224
    X"1dd00187d00a", -- 3167
3225
    X"80370b066713", -- 3168
3226
    X"1cc00186c00a", -- 3169
3227
    X"1dd00187d00a", -- 3170
3228
    X"80270b066713", -- 3171
3229
    X"1cc00186c00a", -- 3172
3230
    X"1dd00187d00a", -- 3173
3231
    X"80170b066713", -- 3174
3232
    X"1cc00186c00a", -- 3175
3233
    X"1dd00187d00a", -- 3176
3234
    X"80070b066713", -- 3177
3235
    X"022806033806", -- 3178
3236
    X"000806011806", -- 3179
3237
    X"1cc00186c00a", -- 3180
3238
    X"1dd00187d00a", -- 3181
3239
    X"86c00a066713", -- 3182
3240
    X"87d00a1cc001", -- 3183
3241
    X"0667131dd001", -- 3184
3242
    X"86c00a80370b", -- 3185
3243
    X"87d00a1cc001", -- 3186
3244
    X"0667131dd001", -- 3187
3245
    X"86c00a80270b", -- 3188
3246
    X"87d00a1cc001", -- 3189
3247
    X"0667131dd001", -- 3190
3248
    X"34400880170b", -- 3191
3249
    X"1aa005355001", -- 3192
3250
    X"dc00081bb001", -- 3193
3251
    X"19f0108abce9", -- 3194
3252
    X"d800401a9002", -- 3195
3253
    X"358001388001", -- 3196
3254
    X"1cf038db0000", -- 3197
3255
    X"04480284c0da", -- 3198
3256
    X"03380283c0aa", -- 3199
3257
    X"02280282c06a", -- 3200
3258
    X"01150281c0ba", -- 3201
3259
    X"00050280c07a", -- 3202
3260
    X"d70000d60000", -- 3203
3261
    X"1c9000006023", -- 3204
3262
    X"de00081da000", -- 3205
3263
    X"3ee0010eeb06", -- 3206
3264
    X"c19129c0e2c0", -- 3207
3265
    X"00e01ec1939a", -- 3208
3266
    X"1cc00186c00a", -- 3209
3267
    X"1dd00187d00a", -- 3210
3268
    X"80470b066713", -- 3211
3269
    X"1cc00186c00a", -- 3212
3270
    X"1dd00187d00a", -- 3213
3271
    X"80370b066713", -- 3214
3272
    X"1cc00186c00a", -- 3215
3273
    X"1dd00187d00a", -- 3216
3274
    X"80270b066713", -- 3217
3275
    X"1cc00186c00a", -- 3218
3276
    X"1dd00187d00a", -- 3219
3277
    X"80170b066713", -- 3220
3278
    X"1cc00186c00a", -- 3221
3279
    X"1dd00187d00a", -- 3222
3280
    X"80070b066713", -- 3223
3281
    X"344001344008", -- 3224
3282
    X"333001333008", -- 3225
3283
    X"322001322008", -- 3226
3284
    X"311001311008", -- 3227
3285
    X"300001300008", -- 3228
3286
    X"1cc00186c00a", -- 3229
3287
    X"1dd00187d00a", -- 3230
3288
    X"80470b066713", -- 3231
3289
    X"1cc00186c00a", -- 3232
3290
    X"1dd00187d00a", -- 3233
3291
    X"80370b066713", -- 3234
3292
    X"1cc00186c00a", -- 3235
3293
    X"1dd00187d00a", -- 3236
3294
    X"80270b066713", -- 3237
3295
    X"355001388008", -- 3238
3296
    X"1bb0011aa005", -- 3239
3297
    X"8abca9dc0008", -- 3240
3298
    X"1a900319f010", -- 3241
3299
    X"388001d80040", -- 3242
3300
    X"db0000358001", -- 3243
3301
    X"84c0ba1cf038", -- 3244
3302
    X"83c07a044802", -- 3245
3303
    X"82c0da033802", -- 3246
3304
    X"81c0aa022502", -- 3247
3305
    X"80c06a011502", -- 3248
3306
    X"d60000000502", -- 3249
3307
    X"006023d70000", -- 3250
3308
    X"1da0001c9000", -- 3251
3309
    X"0eeb06de0008", -- 3252
3310
    X"c0e2c03ee001", -- 3253
3311
    X"c1996ac196f9", -- 3254
3312
    X"86c00a00e01e", -- 3255
3313
    X"87d00a1cc001", -- 3256
3314
    X"0667131dd001", -- 3257
3315
    X"86c00a80470b", -- 3258
3316
    X"87d00a1cc001", -- 3259
3317
    X"0667131dd001", -- 3260
3318
    X"86c00a80370b", -- 3261
3319
    X"87d00a1cc001", -- 3262
3320
    X"0667131dd001", -- 3263
3321
    X"86c00a80270b", -- 3264
3322
    X"87d00a1cc001", -- 3265
3323
    X"0667131dd001", -- 3266
3324
    X"86c00a80170b", -- 3267
3325
    X"87d00a1cc001", -- 3268
3326
    X"0667131dd001", -- 3269
3327
    X"34400880070b", -- 3270
3328
    X"333008344001", -- 3271
3329
    X"322008333001", -- 3272
3330
    X"311008322001", -- 3273
3331
    X"300008311001", -- 3274
3332
    X"86c00a300001", -- 3275
3333
    X"87d00a1cc001", -- 3276
3334
    X"0667131dd001", -- 3277
3335
    X"86c00a80470b", -- 3278
3336
    X"87d00a1cc001", -- 3279
3337
    X"0667131dd001", -- 3280
3338
    X"38800880370b", -- 3281
3339
    X"1aa005355001", -- 3282
3340
    X"dc00081bb001", -- 3283
3341
    X"19f0108bbc09", -- 3284
3342
    X"d800401a9004", -- 3285
3343
    X"358001388001", -- 3286
3344
    X"1cf038db0000", -- 3287
3345
    X"03380283c08a", -- 3288
3346
    X"02250282c0ca", -- 3289
3347
    X"01150281c09a", -- 3290
3348
    X"00050280c05a", -- 3291
3349
    X"d70000d60000", -- 3292
3350
    X"1c9000006023", -- 3293
3351
    X"de00081da000", -- 3294
3352
    X"3ee0010eeb06", -- 3295
3353
    X"c19c49c0e290", -- 3296
3354
    X"00e01ec19e8a", -- 3297
3355
    X"1cc00186c00a", -- 3298
3356
    X"1dd00187d00a", -- 3299
3357
    X"80370b066713", -- 3300
3358
    X"1cc00186c00a", -- 3301
3359
    X"1dd00187d00a", -- 3302
3360
    X"86c00a066713", -- 3303
3361
    X"87d00a1cc001", -- 3304
3362
    X"0667131dd001", -- 3305
3363
    X"86c00a80270b", -- 3306
3364
    X"87d00a1cc001", -- 3307
3365
    X"0667131dd001", -- 3308
3366
    X"86c00a80170b", -- 3309
3367
    X"87d00a1cc001", -- 3310
3368
    X"0667131dd001", -- 3311
3369
    X"33300880070b", -- 3312
3370
    X"322008333001", -- 3313
3371
    X"311008322001", -- 3314
3372
    X"300008311001", -- 3315
3373
    X"86c00a300001", -- 3316
3374
    X"87d00a1cc001", -- 3317
3375
    X"0667131dd001", -- 3318
3376
    X"38800880370b", -- 3319
3377
    X"1aa005355001", -- 3320
3378
    X"dc00081bb001", -- 3321
3379
    X"80f01a8bbcb9", -- 3322
3380
    X"82f03a81f02a", -- 3323
3381
    X"84f05a83f04a", -- 3324
3382
    X"86f07a85f06a", -- 3325
3383
    X"88f09a87f08a", -- 3326
3384
    X"8af0ba89f0aa", -- 3327
3385
    X"8cf0da8bf0ca", -- 3328
3386
    X"8ef0fa8df0ea", -- 3329
3387
    X"00e01a1ff047", -- 3330
3388
    X"80f01b3ff09a", -- 3331
3389
    X"80f23b80f12b", -- 3332
3390
    X"80f45b80f34b", -- 3333
3391
    X"80f67b80f56b", -- 3334
3392
    X"80f89b80f78b", -- 3335
3393
    X"80fabb80f9ab", -- 3336
3394
    X"80fcdb80fbcb", -- 3337
3395
    X"80fefb80fdeb", -- 3338
3396
    X"12f09910f02e", -- 3339
3397
    X"80020b82200a", -- 3340
3398
    X"80021b122008", -- 3341
3399
    X"80022b122008", -- 3342
3400
    X"80023b122008", -- 3343
3401
    X"80024b122008", -- 3344
3402
    X"80025b122008", -- 3345
3403
    X"80026b122040", -- 3346
3404
    X"80027b122040", -- 3347
3405
    X"80028b122040", -- 3348
3406
    X"80029b122040", -- 3349
3407
    X"8002ab122040", -- 3350
3408
    X"8002bb122040", -- 3351
3409
    X"8002cb122040", -- 3352
3410
    X"8002db122040", -- 3353
3411
    X"80000a10f09a", -- 3354
3412
    X"12f04411f06c", -- 3355
3413
    X"d58000d47fff", -- 3356
3414
    X"c1a4aac1a3d9", -- 3357
3415
    X"83000ac0028b", -- 3358
3416
    X"80140bc03064", -- 3359
3417
    X"80250b111001", -- 3360
3418
    X"c1a4a8122001", -- 3361
3419
    X"11100180150b", -- 3362
3420
    X"12200180240b", -- 3363
3421
    X"80030b033004", -- 3364
3422
    X"14f02e100001", -- 3365
3423
    X"81406a80405a", -- 3366
3424
    X"83408a82407a", -- 3367
3425
    X"d80028d40000", -- 3368
3426
    X"06540215f06c", -- 3369
3427
    X"c0602686600a", -- 3370
3428
    X"15500115f044", -- 3371
3429
    X"86500a195028", -- 3372
3430
    X"06670a87000a", -- 3373
3431
    X"80060b87100a", -- 3374
3432
    X"10000186501a", -- 3375
3433
    X"87200a06670a", -- 3376
3434
    X"86502a80160b", -- 3377
3435
    X"06670a111001", -- 3378
3436
    X"80260b87300a", -- 3379
3437
    X"12200186503a", -- 3380
3438
    X"06670a155005", -- 3381
3439
    X"13300180360b", -- 3382
3440
    X"1440058e59b9", -- 3383
3441
    X"14f02e8e4829", -- 3384
3442
    X"8140aa80409a", -- 3385
3443
    X"d400018240ba", -- 3386
3444
    X"06540215f06c", -- 3387
3445
    X"c0602686600a", -- 3388
3446
    X"15500215f044", -- 3389
3447
    X"86500a195028", -- 3390
3448
    X"06670a87000a", -- 3391
3449
    X"80060b87100a", -- 3392
3450
    X"10000186501a", -- 3393
3451
    X"87200a06670a", -- 3394
3452
    X"86502a80160b", -- 3395
3453
    X"155005111001", -- 3396
3454
    X"80260b06670a", -- 3397
3455
    X"8f5909122001", -- 3398
3456
    X"384028144005", -- 3399
3457
    X"14f02ecf8e64", -- 3400
3458
    X"8140da8040ca", -- 3401
3459
    X"15f06cd40002", -- 3402
3460
    X"86600a065402", -- 3403
3461
    X"15f044c06026", -- 3404
3462
    X"195028155003", -- 3405
3463
    X"87000a86500a", -- 3406
3464
    X"87100a06670a", -- 3407
3465
    X"86501a80060b", -- 3408
3466
    X"155005100001", -- 3409
3467
    X"80160b06670a", -- 3410
3468
    X"8f5959111001", -- 3411
3469
    X"384028144005", -- 3412
3470
    X"d0ffffcf8eb4", -- 3413
3471
    X"d0000181f00b", -- 3414
3472
    X"10f02e81f01b", -- 3415
3473
    X"80000a100007", -- 3416
3474
    X"10f02e81f02b", -- 3417
3475
    X"80000a10000a", -- 3418
3476
    X"10f02e81f03b", -- 3419
3477
    X"80000a10000c", -- 3420
3478
    X"10f02e81f04b", -- 3421
3479
    X"80000a100003", -- 3422
3480
    X"d0000381f05b", -- 3423
3481
    X"d1ffff82f01b", -- 3424
3482
    X"d1000181f1db", -- 3425
3483
    X"d3ffff81f1eb", -- 3426
3484
    X"d5ffffd40000", -- 3427
3485
    X"87700a17f09a", -- 3428
3486
    X"c1acf9177002", -- 3429
3487
    X"c0008bc1ad8a", -- 3430
3488
    X"88700ad20002", -- 3431
3489
    X"c09060098506", -- 3432
3490
    X"da0000093206", -- 3433
3491
    X"158000809a38", -- 3434
3492
    X"122005102000", -- 3435
3493
    X"130000177005", -- 3436
3494
    X"d5199a81f0fb", -- 3437
3495
    X"12f02e05050a", -- 3438
3496
    X"81200a122002", -- 3439
3497
    X"16f09a011502", -- 3440
3498
    X"06600286600a", -- 3441
3499
    X"88100a86600a", -- 3442
3500
    X"08890bd92000", -- 3443
3501
    X"85503081f14a", -- 3444
3502
    X"12f015011502", -- 3445
3503
    X"15f09a82200a", -- 3446
3504
    X"10f02185500a", -- 3447
3505
    X"05500280000a", -- 3448
3506
    X"c1b14ac1af59", -- 3449
3507
    X"83500ac0008b", -- 3450
3508
    X"008023036302", -- 3451
3509
    X"11100187100a", -- 3452
3510
    X"0a7b13db4000", -- 3453
3511
    X"12200187200a", -- 3454
3512
    X"0a7b13db2000", -- 3455
3513
    X"0b330a0aa009", -- 3456
3514
    X"87700a17f01e", -- 3457
3515
    X"17f01d0c7b0b", -- 3458
3516
    X"00c02387700a", -- 3459
3517
    X"c0c0a10c7a14", -- 3460
3518
    X"807b0b17f01d", -- 3461
3519
    X"80730b17f01c", -- 3462
3520
    X"807a0b17f01e", -- 3463
3521
    X"81f7ab87f1fa", -- 3464
3522
    X"10000581f0bb", -- 3465
3523
    X"144001155005", -- 3466
3524
    X"d7000283f1fa", -- 3467
3525
    X"87f1aa8a47f9", -- 3468
3526
    X"87f1ba81f7fb", -- 3469
3527
    X"8df1ca82f70b", -- 3470
3528
    X"800d0b10f095", -- 3471
3529
    X"d7200086f1ea", -- 3472
3530
    X"d0ffff06670b", -- 3473
3531
    X"d0000181f0db", -- 3474
3532
    X"15f02e81f0eb", -- 3475
3533
    X"80f1fa85509a", -- 3476
3534
    X"00040ad4199a", -- 3477
3535
    X"85f13a000502", -- 3478
3536
    X"01140a81f20a", -- 3479
3537
    X"15f02e011502", -- 3480
3538
    X"13f03c82501a", -- 3481
3539
    X"c1b45ac1b379", -- 3482
3540
    X"88000ac0008b", -- 3483
3541
    X"d42000100008", -- 3484
3542
    X"00802308840b", -- 3485
3543
    X"11100888100a", -- 3486
3544
    X"88200a088413", -- 3487
3545
    X"d41000122001", -- 3488
3546
    X"088009088413", -- 3489
3547
    X"13300180380b", -- 3490
3548
    X"85506a15f02e", -- 3491
3549
    X"d4199a80f1fa", -- 3492
3550
    X"00050200040a", -- 3493
3551
    X"81f20a85f12a", -- 3494
3552
    X"01150201140a", -- 3495
3553
    X"82500a15f02e", -- 3496
3554
    X"83505a15f02e", -- 3497
3555
    X"1af09ad50000", -- 3498
3556
    X"0aa5028aa00a", -- 3499
3557
    X"1df0958aa00a", -- 3500
3558
    X"0dad028dd00a", -- 3501
3559
    X"88000a006023", -- 3502
3560
    X"d41000100008", -- 3503
3561
    X"88100a088413", -- 3504
3562
    X"088413111008", -- 3505
3563
    X"12200188200a", -- 3506
3564
    X"088413d40800", -- 3507
3565
    X"82f62b14f03c", -- 3508
3566
    X"dc000182f73b", -- 3509
3567
    X"c1b89ac1b6f9", -- 3510
3568
    X"16f09ac0008b", -- 3511
3569
    X"db10008a300a", -- 3512
3570
    X"86600a008023", -- 3513
3571
    X"0aab13133001", -- 3514
3572
    X"8a400a066c02", -- 3515
3573
    X"86600adb4000", -- 3516
3574
    X"0aab13144001", -- 3517
3575
    X"07a009066d02", -- 3518
3576
    X"06660a8af1ea", -- 3519
3577
    X"00a0230aa60b", -- 3520
3578
    X"0aa7148af1da", -- 3521
3579
    X"81f6dbc0a051", -- 3522
3580
    X"81f5ab81f7eb", -- 3523
3581
    X"1cc00581fcbb", -- 3524
3582
    X"86f22a155005", -- 3525
3583
    X"da002887f23a", -- 3526
3584
    X"8af11a8c5a79", -- 3527
3585
    X"0aab0b8bf1da", -- 3528
3586
    X"8af10a00a023", -- 3529
3587
    X"0aab148bf1ea", -- 3530
3588
    X"8af1dac0a0d1", -- 3531
3589
    X"8af1ea81fa0b", -- 3532
3590
    X"8af1fa81fa1b", -- 3533
3591
    X"8af20a81fa8b", -- 3534
3592
    X"8af1aa81fa9b", -- 3535
3593
    X"8af1ba81fa6b", -- 3536
3594
    X"d0ffff81fa7b", -- 3537
3595
    X"d0000181f0db", -- 3538
3596
    X"d1ffff81f0eb", -- 3539
3597
    X"d2ffffd00000", -- 3540
3598
    X"c1bb9ac1bb09", -- 3541
3599
    X"83f21ac0008b", -- 3542
3600
    X"84400a14f09a", -- 3543
3601
    X"86600a064302", -- 3544
3602
    X"c05060056206", -- 3545
3603
    X"d70000051306", -- 3546
3604
    X"126000805738", -- 3547
3605
    X"13300581f3fb", -- 3548
3606
    X"d4199a81f1fa", -- 3549
3607
    X"84f15a03140a", -- 3550
3608
    X"15f09a044302", -- 3551
3609
    X"05510285500a", -- 3552
3610
    X"82f52b85500a", -- 3553
3611
    X"d7200086400a", -- 3554
3612
    X"84f12a06670b", -- 3555
3613
    X"15f02e044302", -- 3556
3614
    X"c1bd0985500a", -- 3557
3615
    X"c0008bc1beda", -- 3558
3616
    X"83300a13f09a", -- 3559
3617
    X"88f22a82300a", -- 3560
3618
    X"006023028202", -- 3561
3619
    X"14400888400a", -- 3562
3620
    X"088913d94000", -- 3563
3621
    X"15500188500a", -- 3564
3622
    X"088913d92000", -- 3565
3623
    X"09220a088009", -- 3566
3624
    X"0aa90b8af1ea", -- 3567
3625
    X"8af1da00a023", -- 3568
3626
    X"c0a0a10aa814", -- 3569
3627
    X"81f2cb81f9db", -- 3570
3628
    X"82f1fa81f8eb", -- 3571
3629
    X"12f09a81f2ab", -- 3572
3630
    X"02320682200a", -- 3573
3631
    X"13300581f2bb", -- 3574
3632
    X"d20002100001", -- 3575
3633
    X"80f1aa8b0299", -- 3576
3634
    X"80f1ba81f0fb", -- 3577
3635
    X"d2199a82f00b", -- 3578
3636
    X"84403004020a", -- 3579
3637
    X"86f1ea85f1ca", -- 3580
3638
    X"06670bd72000", -- 3581
3639
    X"81f0dbd0ffff", -- 3582
3640
    X"81f0ebd00001", -- 3583
3641
    X"81f14a80f1fa", -- 3584
3642
    X"00020ad2199a", -- 3585
3643
    X"11f02e001002", -- 3586
3644
    X"01140281106a", -- 3587
3645
    X"82202a12f02e", -- 3588
3646
    X"c1c0e913f03c", -- 3589
3647
    X"c0008bc1c1da", -- 3590
3648
    X"10000888000a", -- 3591
3649
    X"08890bd92000", -- 3592
3650
    X"88100a008023", -- 3593
3651
    X"d92000111001", -- 3594
3652
    X"88200a088913", -- 3595
3653
    X"d91000122001", -- 3596
3654
    X"088009088913", -- 3597
3655
    X"13300180380b", -- 3598
3656
    X"81f13a80f1fa", -- 3599
3657
    X"00020ad2199a", -- 3600
3658
    X"11f02e001002", -- 3601
3659
    X"01140281105a", -- 3602
3660
    X"82201a12f02e", -- 3603
3661
    X"83309a13f02e", -- 3604
3662
    X"84400a14f09a", -- 3605
3663
    X"8c400a144001", -- 3606
3664
    X"0060230c5c02", -- 3607
3665
    X"10000888000a", -- 3608
3666
    X"088913d91000", -- 3609
3667
    X"11100188100a", -- 3610
3668
    X"088913d91000", -- 3611
3669
    X"12200188200a", -- 3612
3670
    X"088913d90800", -- 3613
3671
    X"82f73b82f62b", -- 3614
3672
    X"c1c45916f03c", -- 3615
3673
    X"c0008bc1c62a", -- 3616
3674
    X"87700a17f09a", -- 3617
3675
    X"8d700a177002", -- 3618
3676
    X"db10008a300a", -- 3619
3677
    X"0dcd02008023", -- 3620
3678
    X"0aab13133001", -- 3621
3679
    X"db40008a600a", -- 3622
3680
    X"1660010ddd0a", -- 3623
3681
    X"0ea0090aab13", -- 3624
3682
    X"0aad0b8af1ea", -- 3625
3683
    X"8af1da00a023", -- 3626
3684
    X"c0a0b10aae14", -- 3627
3685
    X"81feeb81fddb", -- 3628
3686
    X"8aa00a1af09a", -- 3629
3687
    X"81faab0a4a06", -- 3630
3688
    X"8aa00a1af09a", -- 3631
3689
    X"81fabb0a7a06", -- 3632
3690
    X"86f22a177005", -- 3633
3691
    X"14400587f23a", -- 3634
3692
    X"8aa00a1af09a", -- 3635
3693
    X"1aa0011aa028", -- 3636
3694
    X"8af11a8c4a39", -- 3637
3695
    X"0aab0b8bf1da", -- 3638
3696
    X"8af10a00a023", -- 3639
3697
    X"0aab148bf1ea", -- 3640
3698
    X"8af1dac0a0d1", -- 3641
3699
    X"8af1ea81fa0b", -- 3642
3700
    X"8af1fa81fa1b", -- 3643
3701
    X"8af20a81fa9b", -- 3644
3702
    X"8af1aa81fa6b", -- 3645
3703
    X"8af1ba81fa7b", -- 3646
3704
    X"1bf02e81fa8b", -- 3647
3705
    X"81fa2b8ab08a", -- 3648
3706
    X"81fa3b8ab0ba", -- 3649
3707
    X"81fa4b8ab0da", -- 3650
3708
    X"81fa5b8ab04a", -- 3651
3709
    X"10000180f21a", -- 3652
3710
    X"31000582f01b", -- 3653
3711
    X"14f06cce1354", -- 3654
3712
    X"05450285f16a", -- 3655
3713
    X"85f17a80500a", -- 3656
3714
    X"81500a054502", -- 3657
3715
    X"05450285f18a", -- 3658
3716
    X"85f19a82500a", -- 3659
3717
    X"83500a054502", -- 3660
3718
    X"84400a14f097", -- 3661
3719
    X"c1ca09d50000", -- 3662
3720
    X"c0028bc1ca1a", -- 3663
3721
    X"14400180450b", -- 3664
3722
    X"84400a14f097", -- 3665
3723
    X"86f16a850022", -- 3666
3724
    X"80650b064602", -- 3667
3725
    X"86f17a851022", -- 3668
3726
    X"80650b064602", -- 3669
3727
    X"86f18a852022", -- 3670
3728
    X"80650b064602", -- 3671
3729
    X"86f19a853022", -- 3672
3730
    X"80650b064602", -- 3673
3731
    X"84400a14f096", -- 3674
3732
    X"c0507085f16a", -- 3675
3733
    X"c1cbdac1cbc9", -- 3676
3734
    X"d5000000501e", -- 3677
3735
    X"14400180450b", -- 3678
3736
    X"c1cca9c00110", -- 3679
3737
    X"86f16ac1ccda", -- 3680
3738
    X"077606d70028", -- 3681
3739
    X"14f09800701e", -- 3682
3740
    X"15f09684400a", -- 3683
3741
    X"05560285500a", -- 3684
3742
    X"14400187400a", -- 3685
3743
    X"15500180570b", -- 3686
3744
    X"c1cda9c1cdf8", -- 3687
3745
    X"86f16ac1cdea", -- 3688
3746
    X"077606d70028", -- 3689
3747
    X"14f09800701e", -- 3690
3748
    X"15f09684400a", -- 3691
3749
    X"05560285500a", -- 3692
3750
    X"14400187400a", -- 3693
3751
    X"80570b077004", -- 3694
3752
    X"c01130155001", -- 3695
3753
    X"c1cf0ac1ceb9", -- 3696
3754
    X"d7002886f17a", -- 3697
3755
    X"00701e077606", -- 3698
3756
    X"84400a14f098", -- 3699
3757
    X"85500a15f096", -- 3700
3758
    X"87400a055602", -- 3701
3759
    X"86500a144001", -- 3702
3760
    X"80570b076702", -- 3703
3761
    X"c1d038155001", -- 3704
3762
    X"c1d02ac1cfd9", -- 3705
3763
    X"d7002886f17a", -- 3706
3764
    X"00701e077606", -- 3707
3765
    X"84400a14f098", -- 3708
3766
    X"85500a15f096", -- 3709
3767
    X"87400a055602", -- 3710
3768
    X"86500a144001", -- 3711
3769
    X"80570b076706", -- 3712
3770
    X"c02130155001", -- 3713
3771
    X"c1d14ac1d0f9", -- 3714
3772
    X"d7002886f18a", -- 3715
3773
    X"00701e077606", -- 3716
3774
    X"84400a14f098", -- 3717
3775
    X"85500a15f096", -- 3718
3776
    X"87400a055602", -- 3719
3777
    X"86500a144001", -- 3720
3778
    X"80570b076702", -- 3721
3779
    X"c1d278155001", -- 3722
3780
    X"c1d26ac1d219", -- 3723
3781
    X"d7002886f18a", -- 3724
3782
    X"00701e077606", -- 3725
3783
    X"84400a14f098", -- 3726
3784
    X"85500a15f096", -- 3727
3785
    X"87400a055602", -- 3728
3786
    X"86500a144001", -- 3729
3787
    X"80570b076706", -- 3730
3788
    X"c03130155001", -- 3731
3789
    X"c1d38ac1d339", -- 3732
3790
    X"d7002886f19a", -- 3733
3791
    X"00701e077606", -- 3734
3792
    X"84400a14f098", -- 3735
3793
    X"85500a15f096", -- 3736
3794
    X"87400a055602", -- 3737
3795
    X"86500a144001", -- 3738
3796
    X"80570b076702", -- 3739
3797
    X"c1d4b8155001", -- 3740
3798
    X"c1d4aac1d459", -- 3741
3799
    X"d7002886f19a", -- 3742
3800
    X"00701e077606", -- 3743
3801
    X"84400a14f098", -- 3744
3802
    X"85500a15f096", -- 3745
3803
    X"87400a055602", -- 3746
3804
    X"86500a144001", -- 3747
3805
    X"80570b076706", -- 3748
3806
    X"d40000155001", -- 3749
3807
    X"144001c00020", -- 3750
3808
    X"144002c01020", -- 3751
3809
    X"144004c02020", -- 3752
3810
    X"144008c03020", -- 3753
3811
    X"80540b15f095", -- 3754
3812
    X"85f16ad7199a", -- 3755
3813
    X"81f56b05570a", -- 3756
3814
    X"05570a85f17a", -- 3757
3815
    X"85f18a81f57b", -- 3758
3816
    X"81f58b05570a", -- 3759
3817
    X"04570a85f19a", -- 3760
3818
    X"054502854020", -- 3761
3819
    X"15500386f19a", -- 3762
3820
    X"864010056506", -- 3763
3821
    X"81f59b055602", -- 3764
3822
    X"84f16a85f17a", -- 3765
3823
    X"044502855030", -- 3766
3824
    X"85506085f18a", -- 3767
3825
    X"85f19a044502", -- 3768
3826
    X"044502855090", -- 3769
3827
    X"80540b15f094", -- 3770
3828
    X"81f02a80f01a", -- 3771
3829
    X"83f04a82f03a", -- 3772
3830
    X"85f06a84f05a", -- 3773
3831
    X"87f08a86f07a", -- 3774
3832
    X"89f0aa88f09a", -- 3775
3833
    X"8bf0ca8af0ba", -- 3776
3834
    X"8df0ea8cf0da", -- 3777
3835
    X"1ff09a8ef0fa", -- 3778
3836
    X"3ff03800e01a", -- 3779
3837
    X"80f12b80f01b", -- 3780
3838
    X"80f34b80f23b", -- 3781
3839
    X"c1d92980f45b", -- 3782
3840
    X"c0028bc1d96a", -- 3783
3841
    X"11f00c80f36a", -- 3784
3842
    X"10000182000a", -- 3785
3843
    X"80120b822032", -- 3786
3844
    X"c1d9e9111001", -- 3787
3845
    X"c0028bc1da0a", -- 3788
3846
    X"d2000110f00c", -- 3789
3847
    X"002023d30000", -- 3790
3848
    X"10000181000a", -- 3791
3849
    X"002012021113", -- 3792
3850
    X"01200902200e", -- 3793
3851
    X"84f35a120003", -- 3794
3852
    X"84f34a80412b", -- 3795
3853
    X"c1db1980422b", -- 3796
3854
    X"c0028bc1db5a", -- 3797
3855
    X"81f38a10f00c", -- 3798
3856
    X"d30000d20001", -- 3799
3857
    X"82000a002023", -- 3800
3858
    X"83100a100001", -- 3801
3859
    X"022313111001", -- 3802
3860
    X"02200e002012", -- 3803
3861
    X"120ffa012009", -- 3804
3862
    X"01100484f35a", -- 3805
3863
    X"84f34a80413b", -- 3806
3864
    X"80423b322001", -- 3807
3865
    X"c1dccac1dc89", -- 3808
3866
    X"10f00cc0028b", -- 3809
3867
    X"d2000181f37a", -- 3810
3868
    X"002023d30000", -- 3811
3869
    X"10000182000a", -- 3812
3870
    X"11100183100a", -- 3813
3871
    X"002012022313", -- 3814
3872
    X"01200902200e", -- 3815
3873
    X"84f35a120ffa", -- 3816
3874
    X"84f34a80414b", -- 3817
3875
    X"80424b322001", -- 3818
3876
    X"81f02a80f01a", -- 3819
3877
    X"83f04a82f03a", -- 3820
3878
    X"1ff03884f05a", -- 3821
3879
    X"3ff00400e01a", -- 3822
3880
    X"80f12b80f01b", -- 3823
3881
    X"80f34b80f23b", -- 3824
3882
    X"c1de99000026", -- 3825
3883
    X"c0050bc1deda", -- 3826
3884
    X"d1fffed0ffff", -- 3827
3885
    X"83000ad200a0", -- 3828
3886
    X"83100acf3ff0", -- 3829
3887
    X"12200180230b", -- 3830
3888
    X"80f01a000025", -- 3831
3889
    X"82f03a81f02a", -- 3832
3890
    X"1ff00483f04a", -- 3833
3891
    X"3ff01000001d", -- 3834
3892
    X"80f12b80f01b", -- 3835
3893
    X"80f34b80f23b", -- 3836
3894
    X"80f56b80f45b", -- 3837
3895
    X"80f78b80f67b", -- 3838
3896
    X"80f9ab80f89b", -- 3839
3897
    X"80fbcb80fabb", -- 3840
3898
    X"80000ad01568", -- 3841
3899
    X"81100a81f10a", -- 3842
3900
    X"26000000010b", -- 3843
3901
    X"d36ca8d2afc0", -- 3844
3902
    X"0220038220f3", -- 3845
3903
    X"81f0da103000", -- 3846
3904
    X"84f10a00010b", -- 3847
3905
    X"c0403484401a", -- 3848
3906
    X"c1e158d50000", -- 3849
3907
    X"824071d5ffff", -- 3850
3908
    X"822021022007", -- 3851
3909
    X"d5bd31143000", -- 3852
3910
    X"d2488004450b", -- 3853
3911
    X"8220a3d36730", -- 3854
3912
    X"183000026207", -- 3855
3913
    X"08890a89f0da", -- 3856
3914
    X"80002ad01568", -- 3857
3915
    X"d0156806800b", -- 3858
3916
    X"81f10a80000a", -- 3859
3917
    X"00010b81101a", -- 3860
3918
    X"026007800033", -- 3861
3919
    X"183000822021", -- 3862
3920
    X"0a8b0bdbbd31", -- 3863
3921
    X"08890a89f0da", -- 3864
3922
    X"d80000c09220", -- 3865
3923
    X"d2000880f8fb", -- 3866
3924
    X"d01570322004", -- 3867
3925
    X"80000a000802", -- 3868
3926
    X"80003300090b", -- 3869
3927
    X"c0002300a007", -- 3870
3928
    X"188001c1e438", -- 3871
3929
    X"03820680f8fb", -- 3872
3930
    X"d60000cf3f54", -- 3873
3931
    X"d2001080f6eb", -- 3874
3932
    X"d01574322008", -- 3875
3933
    X"80000a000602", -- 3876
3934
    X"80005300090b", -- 3877
3935
    X"c00023004007", -- 3878
3936
    X"166001c1e748", -- 3879
3937
    X"03620680f6eb", -- 3880
3938
    X"c1e748cf3f54", -- 3881
3939
    X"80f8fbd80000", -- 3882
3940
    X"322004d20008", -- 3883
3941
    X"108000d01570", -- 3884
3942
    X"00090b80000a", -- 3885
3943
    X"00a007800033", -- 3886
3944
    X"c1e648c00025", -- 3887
3945
    X"80f8fb188001", -- 3888
3946
    X"cf3f52038206", -- 3889
3947
    X"80f6ebd60000", -- 3890
3948
    X"322008d20010", -- 3891
3949
    X"106000d01574", -- 3892
3950
    X"00090b80000a", -- 3893
3951
    X"004007800053", -- 3894
3952
    X"c1e748c00027", -- 3895
3953
    X"80f6eb166001", -- 3896
3954
    X"cf3f54036206", -- 3897
3955
    X"81f02a80f01a", -- 3898
3956
    X"83f04a82f03a", -- 3899
3957
    X"85f06a84f05a", -- 3900
3958
    X"87f08a86f07a", -- 3901
3959
    X"89f0aa88f09a", -- 3902
3960
    X"8bf0ca8af0ba", -- 3903
3961
    X"00e01a1ff010", -- 3904
3962
    X"80f01b3ff00b", -- 3905
3963
    X"80f23b80f12b", -- 3906
3964
    X"80f45b80f34b", -- 3907
3965
    X"80f67b80f56b", -- 3908
3966
    X"80f89b80f78b", -- 3909
3967
    X"d1ffffd0ffff", -- 3910
3968
    X"32202882f0aa", -- 3911
3969
    X"c02186182000", -- 3912
3970
    X"84200ad20335", -- 3913
3971
    X"04400885201a", -- 3914
3972
    X"04421782f0ba", -- 3915
3973
    X"d64000844011", -- 3916
3974
    X"044603d70000", -- 3917
3975
    X"c02021024007", -- 3918
3976
    X"044008204000", -- 3919
3977
    X"04421782f0ba", -- 3920
3978
    X"d64000844011", -- 3921
3979
    X"044603d70000", -- 3922
3980
    X"c02021024007", -- 3923
3981
    X"d2161e204000", -- 3924
3982
    X"82200a022802", -- 3925
3983
    X"33300183f0aa", -- 3926
3984
    X"033402d4161e", -- 3927
3985
    X"04320683300a", -- 3928
3986
    X"144001c04154", -- 3929
3987
    X"c1ec6ac1eba9", -- 3930
3988
    X"d4033500401e", -- 3931
3989
    X"044202044202", -- 3932
3990
    X"83401a82400a", -- 3933
3991
    X"85f0ba022008", -- 3934
3992
    X"822011022517", -- 3935
3993
    X"d70000d64000", -- 3936
3994
    X"062007022603", -- 3937
3995
    X"202000c06021", -- 3938
3996
    X"d40335144002", -- 3939
3997
    X"83405a82404a", -- 3940
3998
    X"80437b80426b", -- 3941
3999
    X"83403a82402a", -- 3942
4000
    X"80435b80424b", -- 3943
4001
    X"83401a82400a", -- 3944
4002
    X"80433b80422b", -- 3945
4003
    X"80411b80400b", -- 3946
4004
    X"81f02a80f01a", -- 3947
4005
    X"83f04a82f03a", -- 3948
4006
    X"85f06a84f05a", -- 3949
4007
    X"87f08a86f07a", -- 3950
4008
    X"1ff00b88f09a", -- 3951
4009
    X"3ff03300e01a", -- 3952
4010
    X"80f12b80f01b", -- 3953
4011
    X"80f34b80f23b", -- 3954
4012
    X"80f56b80f45b", -- 3955
4013
    X"80f78b80f67b", -- 3956
4014
    X"80f9ab80f89b", -- 3957
4015
    X"80fbcb80fabb", -- 3958
4016
    X"80fdeb80fcdb", -- 3959
4017
    X"d002ff80fefb", -- 3960
4018
    X"d0065c80f00b", -- 3961
4019
    X"be05c48ff0fb", -- 3962
4020
    X"81ffda80ffea", -- 3963
4021
    X"82f32a82f1db", -- 3964
4022
    X"85202a84200a", -- 3965
4023
    X"86204a04450b", -- 3966
4024
    X"83f31a06660b", -- 3967
4025
    X"89302a88300a", -- 3968
4026
    X"388001088902", -- 3969
4027
    X"09990289304a", -- 3970
4028
    X"0a8906199001", -- 3971
4029
    X"0c4a10c0a050", -- 3972
4030
    X"1b90000cc607", -- 3973
4031
    X"0aa004c1f118", -- 3974
4032
    X"0c4c070c6a10", -- 3975
4033
    X"04c0121b8000", -- 3976
4034
    X"06b40206c40e", -- 3977
4035
    X"d44000366010", -- 3978
4036
    X"8ff7fb80f40b", -- 3979
4037
    X"87ffeabe00cf", -- 3980
4038
    X"81f7ab077004", -- 3981
4039
    X"06600436601d", -- 3982
4040
    X"84202a81f6bb", -- 3983
4041
    X"04450b85201a", -- 3984
4042
    X"87204a86203a", -- 3985
4043
    X"88302a06670b", -- 3986
4044
    X"08890289301a", -- 3987
4045
    X"8a304a89303a", -- 3988
4046
    X"199001099a02", -- 3989
4047
    X"c0a0700a8906", -- 3990
4048
    X"044a101aa001", -- 3991
4049
    X"0c4607866013", -- 3992
4050
    X"c1f3a83b9001", -- 3993
4051
    X"1aa0010aa004", -- 3994
4052
    X"844013066a10", -- 3995
4053
    X"3b80010c4607", -- 3996
4054
    X"06c40e04c012", -- 3997
4055
    X"36601006b402", -- 3998
4056
    X"04650285f1ba", -- 3999
4057
    X"85f1aa344018", -- 4000
4058
    X"06641006750b", -- 4001
4059
    X"84f2ea81f7cb", -- 4002
4060
    X"804559d50001", -- 4003
4061
    X"047506d501e1", -- 4004
4062
    X"81f5cbc04020", -- 4005
4063
    X"85203a84200a", -- 4006
4064
    X"86201a04450b", -- 4007
4065
    X"06670b87204a", -- 4008
4066
    X"89303a88300a", -- 4009
4067
    X"89301a088902", -- 4010
4068
    X"099a028a304a", -- 4011
4069
    X"0a8906199001", -- 4012
4070
    X"1aa001c0a070", -- 4013
4071
    X"866013044a10", -- 4014
4072
    X"3b90010c4607", -- 4015
4073
    X"0aa004c1f678", -- 4016
4074
    X"066a101aa001", -- 4017
4075
    X"0c4607844013", -- 4018
4076
    X"04c0123b8001", -- 4019
4077
    X"06b40206c40e", -- 4020
4078
    X"85f1ba366010", -- 4021
4079
    X"344011046502", -- 4022
4080
    X"06750b85f1aa", -- 4023
4081
    X"15f01c066410", -- 4024
4082
    X"35100480571b", -- 4025
4083
    X"02050fc05034", -- 4026
4084
    X"140000c1f7d8", -- 4027
4085
    X"331014d50000", -- 4028
4086
    X"04430e033004", -- 4029
4087
    X"14f01c125000", -- 4030
4088
    X"8ff2db80f40b", -- 4031
4089
    X"82fffabe1df5", -- 4032
4090
    X"81f20b83ffea", -- 4033
4091
    X"14f01e81f31b", -- 4034
4092
    X"86500a85f31a", -- 4035
4093
    X"80460b16600d", -- 4036
4094
    X"16600e86501a", -- 4037
4095
    X"87101080461b", -- 4038
4096
    X"86502a377015", -- 4039
4097
    X"80462b066702", -- 4040
4098
    X"86503a371003", -- 4041
4099
    X"80463b066702", -- 4042
4100
    X"86504a371004", -- 4043
4101
    X"80464b066702", -- 4044
4102
    X"14400186400a", -- 4045
4103
    X"c1fa4ac1f9f9", -- 4046
4104
    X"85400ac0004b", -- 4047
4105
    X"075606144001", -- 4048
4106
    X"165000c07026", -- 4049
4107
    X"c1fac9000024", -- 4050
4108
    X"c0005bc1fb7a", -- 4051
4109
    X"85f32a14f01e", -- 4052
4110
    X"1cf0231bf028", -- 4053
4111
    X"1440018a400a", -- 4054
4112
    X"d8000007a606", -- 4055
4113
    X"15500189500a", -- 4056
4114
    X"088008088710", -- 4057
4115
    X"1bb00180b90b", -- 4058
4116
    X"1cc00180c80b", -- 4059
4117
    X"d57fffd4ffff", -- 4060
4118
    X"81f57b81f46b", -- 4061
4119
    X"d9000188f2ea", -- 4062
4120
    X"d800008489e9", -- 4063
4121
    X"c2007ac1fc49", -- 4064
4122
    X"d90000c0008b", -- 4065
4123
    X"02280282f10a", -- 4066
4124
    X"d61520722002", -- 4067
4125
    X"83f11a022602", -- 4068
4126
    X"733002033902", -- 4069
4127
    X"033602d61530", -- 4070
4128
    X"87300a86200a", -- 4071
4129
    X"d73fff016702", -- 4072
4130
    X"c07346071706", -- 4073
4131
    X"d7000086201a", -- 4074
4132
    X"db00008a301a", -- 4075
4133
    X"866013066a03", -- 4076
4134
    X"07110a06060a", -- 4077
4135
    X"0b610a0a660a", -- 4078
4136
    X"15f02314f028", -- 4079
4137
    X"81f33b81f22b", -- 4080
4138
    X"81f95b81f84b", -- 4081
4139
    X"8d400a8c500a", -- 4082
4140
    X"8c501a02c717", -- 4083
4141
    X"08c1178d401a", -- 4084
4142
    X"8c502a022803", -- 4085
4143
    X"08ca178d402a", -- 4086
4144
    X"8c503a022803", -- 4087
4145
    X"08c6178d403a", -- 4088
4146
    X"8c504a022803", -- 4089
4147
    X"08cb178d404a", -- 4090
4148
    X"82f12a0c2803", -- 4091
4149
    X"88f14a83f13a", -- 4092
4150
    X"84f16a89f15a", -- 4093
4151
    X"04c40785f17a", -- 4094
4152
    X"81fc6bc04097", -- 4095
4153
    X"82f10a81fd7b", -- 4096
4154
    X"81f48b042802", -- 4097
4155
    X"05390283f11a", -- 4098
4156
    X"19900181f59b", -- 4099
4157
    X"d90004188001", -- 4100
4158
    X"c205588b8969", -- 4101
4159
    X"c20119d80000", -- 4102
4160
    X"c0008bc2051a", -- 4103
4161
    X"82f10ad90000", -- 4104
4162
    X"722002022802", -- 4105
4163
    X"022602d61520", -- 4106
4164
    X"03390283f11a", -- 4107
4165
    X"d71530733002", -- 4108
4166
    X"86200a033702", -- 4109
4167
    X"01670287300a", -- 4110
4168
    X"d7000086201a", -- 4111
4169
    X"db00008a301a", -- 4112
4170
    X"866013066a03", -- 4113
4171
    X"07110a06060a", -- 4114
4172
    X"0b610a0a660a", -- 4115
4173
    X"15f02314f028", -- 4116
4174
    X"81f33b81f22b", -- 4117
4175
    X"81f95b81f84b", -- 4118
4176
    X"8d400a8c500a", -- 4119
4177
    X"8c501a02c717", -- 4120
4178
    X"08c1178d401a", -- 4121
4179
    X"8c502a022803", -- 4122
4180
    X"08ca178d402a", -- 4123
4181
    X"8c503a022803", -- 4124
4182
    X"08c6178d403a", -- 4125
4183
    X"8c504a022803", -- 4126
4184
    X"08cb178d404a", -- 4127
4185
    X"82f12a0c2803", -- 4128
4186
    X"88f14a83f13a", -- 4129
4187
    X"84f16a89f15a", -- 4130
4188
    X"04c40785f17a", -- 4131
4189
    X"81fc6bc04097", -- 4132
4190
    X"82f10a81fd7b", -- 4133
4191
    X"81f48b042802", -- 4134
4192
    X"05390283f11a", -- 4135
4193
    X"19900181f59b", -- 4136
4194
    X"d90004188001", -- 4137
4195
    X"82f18a8b8999", -- 4138
4196
    X"d41520722002", -- 4139
4197
    X"83f19a022402", -- 4140
4198
    X"d51530733002", -- 4141
4199
    X"84200a033502", -- 4142
4200
    X"06450285300a", -- 4143
4201
    X"84201a83f60b", -- 4144
4202
    X"86301ad50000", -- 4145
4203
    X"084603d70000", -- 4146
4204
    X"04600b868013", -- 4147
4205
    X"06600486f2da", -- 4148
4206
    X"04460e166004", -- 4149
4207
    X"d002ff82f5fb", -- 4150
4208
    X"8ff9fb80f00b", -- 4151
4209
    X"be067f8ff8eb", -- 4152
4210
    X"d0155081f18a", -- 4153
4211
    X"81100a010102", -- 4154
4212
    X"82f19a711010", -- 4155
4213
    X"020202d01558", -- 4156
4214
    X"00120282200a", -- 4157
4215
    X"80f01a82f0db", -- 4158
4216
    X"82f03a81f02a", -- 4159
4217
    X"84f05a83f04a", -- 4160
4218
    X"86f07a85f06a", -- 4161
4219
    X"88f09a87f08a", -- 4162
4220
    X"8af0ba89f0aa", -- 4163
4221
    X"8cf0da8bf0ca", -- 4164
4222
    X"8ef0fa8df0ea", -- 4165
4223
    X"00e01a1ff033", -- 4166
4224
    X"80f01b3ff008", -- 4167
4225
    X"80f23b80f12b", -- 4168
4226
    X"80f45b80f34b", -- 4169
4227
    X"80f08a80f56b", -- 4170
4228
    X"81000a84f07a", -- 4171
4229
    X"021518d50080", -- 4172
4230
    X"d500ffc02042", -- 4173
4231
    X"c20a08011518", -- 4174
4232
    X"011519d5ff00", -- 4175
4233
    X"81001a821080", -- 4176
4234
    X"d500ff831022", -- 4177
4235
    X"022319033518", -- 4178
4236
    X"d5000280420b", -- 4179
4237
    X"c02042021518", -- 4180
4238
    X"011518d50003", -- 4181
4239
    X"d5fffcc20af8", -- 4182
4240
    X"8210e0011519", -- 4183
4241
    X"83106081002a", -- 4184
4242
    X"033518d53fff", -- 4185
4243
    X"81003a022319", -- 4186
4244
    X"031518d50800", -- 4187
4245
    X"d5001fc03042", -- 4188
4246
    X"c20be8011518", -- 4189
4247
    X"011519d5ffe0", -- 4190
4248
    X"d507ff831050", -- 4191
4249
    X"022319033518", -- 4192
4250
    X"83108281004a", -- 4193
4251
    X"033518d507ff", -- 4194
4252
    X"80421b022319", -- 4195
4253
    X"021518d50080", -- 4196
4254
    X"d500ffc02042", -- 4197
4255
    X"c20d08011518", -- 4198
4256
    X"011519d5ff00", -- 4199
4257
    X"81005a821080", -- 4200
4258
    X"d500ff831040", -- 4201
4259
    X"022319033518", -- 4202
4260
    X"83103281006a", -- 4203
4261
    X"033518d5000f", -- 4204
4262
    X"80422b022319", -- 4205
4263
    X"021518d50004", -- 4206
4264
    X"d50007c02042", -- 4207
4265
    X"c20e48011518", -- 4208
4266
    X"011519d5fff8", -- 4209
4267
    X"81007a8210d0", -- 4210
4268
    X"d51fff831080", -- 4211
4269
    X"022319033518", -- 4212
4270
    X"83105281008a", -- 4213
4271
    X"033518d500ff", -- 4214
4272
    X"80423b022319", -- 4215
4273
    X"021518d50010", -- 4216
4274
    X"d5001fc02042", -- 4217
4275
    X"c20f88011518", -- 4218
4276
    X"011519d5ffe0", -- 4219
4277
    X"81009a8210b0", -- 4220
4278
    X"d507ff831070", -- 4221
4279
    X"022319033518", -- 4222
4280
    X"d5007f8100aa", -- 4223
4281
    X"022319031518", -- 4224
4282
    X"80f01a80424b", -- 4225
4283
    X"82f03a81f02a", -- 4226
4284
    X"84f05a83f04a", -- 4227
4285
    X"1ff00885f06a", -- 4228
4286
    X"d000a000e01a", -- 4229
4287
    X"be0e1c80f00b", -- 4230
4288
    X"80f00bd00000", -- 4231
4289
    X"8ff0fbd007a4", -- 4232
4290
    X"8ff0ebd00799", -- 4233
4291
    X"d00799be0e5e", -- 4234
4292
    X"d007a480f00b", -- 4235
4293
    X"d0000a8ff0fb", -- 4236
4294
    X"be0edd8ff0eb", -- 4237
4295
    X"80f00bd007a4", -- 4238
4296
    X"8ff0fbd00799", -- 4239
4297
    X"8ff0ebd006b1", -- 4240
4298
    X"8ff0dbd007af", -- 4241
4299
    X"d002b9be0f0a", -- 4242
4300
    X"d0068480f00b", -- 4243
4301
    X"d006b18ff0fb", -- 4244
4302
    X"be10b08ff0eb", -- 4245
4303
    X"80f00bd00684", -- 4246
4304
    X"8ff0fbd007b9", -- 4247
4305
    X"8ff0ebd007c6", -- 4248
4306
    X"d002c3be125f", -- 4249
4307
    X"d007b980f00b", -- 4250
4308
    X"d0069b8ff0fb", -- 4251
4309
    X"be04488ff0eb", -- 4252
4310
    X"80f00bd0069b", -- 4253
4311
    X"8ff0fbd06000", -- 4254
4312
    X"8ff0ebd0000a", -- 4255
4313
    X"8ff0dbd006b1", -- 4256
4314
    X"d0069bbe0015", -- 4257
4315
    X"10000110000a", -- 4258
4316
    X"d0600080f00b", -- 4259
4317
    X"d0000a8ff0fb", -- 4260
4318
    X"d006b18ff0eb", -- 4261
4319
    X"10000110000a", -- 4262
4320
    X"be00158ff0db", -- 4263
4321
    X"80f00bd00684", -- 4264
4322
    X"8ff0fbd002b9", -- 4265
4323
    X"8ff0ebd0000a", -- 4266
4324
    X"d007b9be0000", -- 4267
4325
    X"d002c380f00b", -- 4268
4326
    X"d0000a8ff0fb", -- 4269
4327
    X"be00008ff0eb", -- 4270
4328
    X"d0069b3ff00b", -- 4271
4329
    X"d0007880f00b", -- 4272
4330
    X"d002698ff0fb", -- 4273
4331
    X"be003e8ff0eb", -- 4274
4332
    X"10000ad0069b", -- 4275
4333
    X"80f00b100001", -- 4276
4334
    X"100028d00078", -- 4277
4335
    X"d002698ff0fb", -- 4278
4336
    X"8ff0eb100028", -- 4279
4337
    X"d006b1be003e", -- 4280
4338
    X"11f001100001", -- 4281
4339
    X"80120bd21000", -- 4282
4340
    X"c217b9111001", -- 4283
4341
    X"c000abc2181a", -- 4284
4342
    X"840ffad3599a", -- 4285
4343
    X"82000a04430a", -- 4286
4344
    X"022406100001", -- 4287
4345
    X"11100180120b", -- 4288
4346
    X"80f40b14f001", -- 4289
4347
    X"8ff4fbd40269", -- 4290
4348
    X"8ff4ebd4017f", -- 4291
4349
    X"8ff4dbd40028", -- 4292
4350
    X"8ff4cbd402d7", -- 4293
4351
    X"8ff4bbd40001", -- 4294
4352
    X"100001be0075", -- 4295
4353
    X"11100111f001", -- 4296
4354
    X"c219bac21959", -- 4297
4355
    X"840ffac000ab", -- 4298
4356
    X"82000a04430a", -- 4299
4357
    X"022406100001", -- 4300
4358
    X"11100180120b", -- 4301
4359
    X"80f40b14f001", -- 4302
4360
    X"144028d40269", -- 4303
4361
    X"d4017f8ff4fb", -- 4304
4362
    X"8ff4eb144028", -- 4305
4363
    X"8ff4dbd40028", -- 4306
4364
    X"8ff4cbd402d7", -- 4307
4365
    X"8ff4bbd40001", -- 4308
4366
    X"1ff00bbe0075", -- 4309
4367
    X"80f00bd0017f", -- 4310
4368
    X"81fffabe127d", -- 4311
4369
    X"31100384fffa", -- 4312
4370
    X"c02026321014", -- 4313
4371
    X"121006d10014", -- 4314
4372
    X"c0303033208f", -- 4315
4373
    X"312006d2008f", -- 4316
4374
    X"1307c3d00000", -- 4317
4375
    X"1307c480310b", -- 4318
4376
    X"d007ce80320b", -- 4319
4377
    X"80021b80010b", -- 4320
4378
    X"00001d80042b", -- 4321
4379
    X"80f00bd00690", -- 4322
4380
    X"8ff0fbd0069c", -- 4323
4381
    X"00001dbe208e", -- 4324
4382
    X"c21d09000026", -- 4325
4383
    X"00301ec21d4a", -- 4326
4384
    X"d1fffcd0fffd", -- 4327
4385
    X"cf4ff284000a", -- 4328
4386
    X"12200184200a", -- 4329
4387
    X"00002580140b", -- 4330
4388
    X"3ff00500e01a", -- 4331
4389
    X"80f12b80f01b", -- 4332
4390
    X"80f34b80f23b", -- 4333
4391
    X"d2069c80f45b", -- 4334
4392
    X"be21cad30005", -- 4335
4393
    X"81f02a80f01a", -- 4336
4394
    X"83f04a82f03a", -- 4337
4395
    X"1ff00584f05a", -- 4338
4396
    X"3ff00500001d", -- 4339
4397
    X"80f12b80f01b", -- 4340
4398
    X"80f34b80f23b", -- 4341
4399
    X"d2060b80f45b", -- 4342
4400
    X"be21cad30050", -- 4343
4401
    X"81f02a80f01a", -- 4344
4402
    X"83f04a82f03a", -- 4345
4403
    X"1ff00584f05a", -- 4346
4404
    X"3ff00400001d", -- 4347
4405
    X"80f12b80f01b", -- 4348
4406
    X"80f34b80f23b", -- 4349
4407
    X"c22039000026", -- 4350
4408
    X"c0005bc2207a", -- 4351
4409
    X"d1fffed0ffff", -- 4352
4410
    X"83000ad2069c", -- 4353
4411
    X"83100acf3ff0", -- 4354
4412
    X"12200180230b", -- 4355
4413
    X"80f01a000025", -- 4356
4414
    X"82f03a81f02a", -- 4357
4415
    X"1ff00483f04a", -- 4358
4416
    X"3ff00400001d", -- 4359
4417
    X"80f12b80f01b", -- 4360
4418
    X"80f34b80f23b", -- 4361
4419
    X"c221b9000026", -- 4362
4420
    X"c068fbc221fa", -- 4363
4421
    X"d1fffed0ffff", -- 4364
4422
    X"83000ad20000", -- 4365
4423
    X"83100acf3ff0", -- 4366
4424
    X"12200180230b", -- 4367
4425
    X"80f01a000025", -- 4368
4426
    X"82f03a81f02a", -- 4369
4427
    X"1ff00483f04a", -- 4370
4428
    X"3ff00500001d", -- 4371
4429
    X"80f12b80f01b", -- 4372
4430
    X"80f34b80f23b", -- 4373
4431
    X"d2000080f45b", -- 4374
4432
    X"be21cad3068f", -- 4375
4433
    X"81f02a80f01a", -- 4376
4434
    X"83f04a82f03a", -- 4377
4435
    X"1ff00584f05a", -- 4378
4436
    X"00000000001d", -- 4379
4437
    X"000000000000", -- 4380
4438
    X"000000000000", -- 4381
4439
    X"000000000000", -- 4382
4440
    X"000000000000", -- 4383
4441
    X"000000000000", -- 4384
4442
    X"000000000000", -- 4385
4443
    X"000000000000", -- 4386
4444
    X"000000000000", -- 4387
4445
    X"000000000000", -- 4388
4446
    X"000000000000", -- 4389
4447
    X"000000000000", -- 4390
4448
    X"000000000000", -- 4391
4449
    X"000000000000", -- 4392
4450
    X"000000000000", -- 4393
4451
    X"000000000000", -- 4394
4452
    X"000000000000", -- 4395
4453
    X"000000000000", -- 4396
4454
    X"000000000000", -- 4397
4455
    X"000000000000", -- 4398
4456
    X"000000000000", -- 4399
4457
    X"000000000000", -- 4400
4458
    X"000000000000", -- 4401
4459
    X"000000000000", -- 4402
4460
    X"000000000000", -- 4403
4461
    X"000000000000", -- 4404
4462
    X"000000000000", -- 4405
4463
    X"000000000000", -- 4406
4464
    X"000000000000", -- 4407
4465
    X"000000000000", -- 4408
4466
    X"000000000000", -- 4409
4467
    X"000000000000", -- 4410
4468
    X"000000000000", -- 4411
4469
    X"000000000000", -- 4412
4470
    X"000000000000", -- 4413
4471
    X"000000000000", -- 4414
4472
    X"000000000000", -- 4415
4473
    X"000000000000", -- 4416
4474
    X"000000000000", -- 4417
4475
    X"000000000000", -- 4418
4476
    X"000000000000", -- 4419
4477
    X"000000000000", -- 4420
4478
    X"000000000000", -- 4421
4479
    X"000000000000", -- 4422
4480
    X"000000000000", -- 4423
4481
    X"000000000000", -- 4424
4482
    X"000000000000", -- 4425
4483
    X"000000000000", -- 4426
4484
    X"000000000000", -- 4427
4485
    X"000000000000", -- 4428
4486
    X"000000000000", -- 4429
4487
    X"000000000000", -- 4430
4488
    X"000000000000", -- 4431
4489
    X"000000000000", -- 4432
4490
    X"000000000000", -- 4433
4491
    X"000000000000", -- 4434
4492
    X"000000000000", -- 4435
4493
    X"000000000000", -- 4436
4494
    X"000000000000", -- 4437
4495
    X"000000000000", -- 4438
4496
    X"000000000000", -- 4439
4497
    X"000000000000", -- 4440
4498
    X"000000000000", -- 4441
4499
    X"000000000000", -- 4442
4500
    X"000000000000", -- 4443
4501
    X"000000000000", -- 4444
4502
    X"000000000000", -- 4445
4503
    X"000000000000", -- 4446
4504
    X"000000000000", -- 4447
4505
    X"000000000000", -- 4448
4506
    X"000000000000", -- 4449
4507
    X"000000000000", -- 4450
4508
    X"000000000000", -- 4451
4509
    X"000000000000", -- 4452
4510
    X"000000000000", -- 4453
4511
    X"000000000000", -- 4454
4512
    X"000000000000", -- 4455
4513
    X"000000000000", -- 4456
4514
    X"000000000000", -- 4457
4515
    X"000000000000", -- 4458
4516
    X"000000000000", -- 4459
4517
    X"000000000000", -- 4460
4518
    X"000000000000", -- 4461
4519
    X"000000000000", -- 4462
4520
    X"000000000000", -- 4463
4521
    X"000000000000", -- 4464
4522
    X"000000000000", -- 4465
4523
    X"000000000000", -- 4466
4524
    X"000000000000", -- 4467
4525
    X"000000000000", -- 4468
4526
    X"000000000000", -- 4469
4527
    X"000000000000", -- 4470
4528
    X"000000000000", -- 4471
4529
    X"000000000000", -- 4472
4530
    X"000000000000", -- 4473
4531
    X"000000000000", -- 4474
4532
    X"000000000000", -- 4475
4533
    X"000000000000", -- 4476
4534
    X"000000000000", -- 4477
4535
    X"000000000000", -- 4478
4536
    X"000000000000", -- 4479
4537
    X"000000000000", -- 4480
4538
    X"000000000000", -- 4481
4539
    X"000000000000", -- 4482
4540
    X"000000000000", -- 4483
4541
    X"000000000000", -- 4484
4542
    X"000000000000", -- 4485
4543
    X"000000000000", -- 4486
4544
    X"000000000000", -- 4487
4545
    X"000000000000", -- 4488
4546
    X"000000000000", -- 4489
4547
    X"000000000000", -- 4490
4548
    X"000000000000", -- 4491
4549
    X"000000000000", -- 4492
4550
    X"000000000000", -- 4493
4551
    X"000000000000", -- 4494
4552
    X"000000000000", -- 4495
4553
    X"000000000000", -- 4496
4554
    X"000000000000", -- 4497
4555
    X"000000000000", -- 4498
4556
    X"000000000000", -- 4499
4557
    X"000000000000", -- 4500
4558
    X"000000000000", -- 4501
4559
    X"000000000000", -- 4502
4560
    X"000000000000", -- 4503
4561
    X"000000000000", -- 4504
4562
    X"000000000000", -- 4505
4563
    X"000000000000", -- 4506
4564
    X"000000000000", -- 4507
4565
    X"000000000000", -- 4508
4566
    X"000000000000", -- 4509
4567
    X"000000000000", -- 4510
4568
    X"000000000000", -- 4511
4569
    X"000000000000", -- 4512
4570
    X"000000000000", -- 4513
4571
    X"000000000000", -- 4514
4572
    X"000000000000", -- 4515
4573
    X"000000000000", -- 4516
4574
    X"000000000000", -- 4517
4575
    X"000000000000", -- 4518
4576
    X"000000000000", -- 4519
4577
    X"000000000000", -- 4520
4578
    X"000000000000", -- 4521
4579
    X"000000000000", -- 4522
4580
    X"000000000000", -- 4523
4581
    X"000000000000", -- 4524
4582
    X"000000000000", -- 4525
4583
    X"000000000000", -- 4526
4584
    X"000000000000", -- 4527
4585
    X"000000000000", -- 4528
4586
    X"000000000000", -- 4529
4587
    X"000000000000", -- 4530
4588
    X"000000000000", -- 4531
4589
    X"000000000000", -- 4532
4590
    X"000000000000", -- 4533
4591
    X"000000000000", -- 4534
4592
    X"000000000000", -- 4535
4593
    X"000000000000", -- 4536
4594
    X"000000000000", -- 4537
4595
    X"000000000000", -- 4538
4596
    X"000000000000", -- 4539
4597
    X"000000000000", -- 4540
4598
    X"000000000000", -- 4541
4599
    X"000000000000", -- 4542
4600
    X"000000000000", -- 4543
4601
    X"000000000000", -- 4544
4602
    X"000000000000", -- 4545
4603
    X"000000000000", -- 4546
4604
    X"000000000000", -- 4547
4605
    X"000000000000", -- 4548
4606
    X"000000000000", -- 4549
4607
    X"000000000000", -- 4550
4608
    X"000000000000", -- 4551
4609
    X"000000000000", -- 4552
4610
    X"000000000000", -- 4553
4611
    X"000000000000", -- 4554
4612
    X"000000000000", -- 4555
4613
    X"000000000000", -- 4556
4614
    X"000000000000", -- 4557
4615
    X"000000000000", -- 4558
4616
    X"000000000000", -- 4559
4617
    X"000000000000", -- 4560
4618
    X"000000000000", -- 4561
4619
    X"000000000000", -- 4562
4620
    X"000000000000", -- 4563
4621
    X"000000000000", -- 4564
4622
    X"000000000000", -- 4565
4623
    X"000000000000", -- 4566
4624
    X"000000000000", -- 4567
4625
    X"000000000000", -- 4568
4626
    X"000000000000", -- 4569
4627
    X"000000000000", -- 4570
4628
    X"000000000000", -- 4571
4629
    X"000000000000", -- 4572
4630
    X"000000000000", -- 4573
4631
    X"000000000000", -- 4574
4632
    X"000000000000", -- 4575
4633
    X"000000000000", -- 4576
4634
    X"000000000000", -- 4577
4635
    X"000000000000", -- 4578
4636
    X"000000000000", -- 4579
4637
    X"000000000000", -- 4580
4638
    X"000000000000", -- 4581
4639
    X"000000000000", -- 4582
4640
    X"000000000000", -- 4583
4641
    X"000000000000", -- 4584
4642
    X"000000000000", -- 4585
4643
    X"000000000000", -- 4586
4644
    X"000000000000", -- 4587
4645
    X"000000000000", -- 4588
4646
    X"000000000000", -- 4589
4647
    X"000000000000", -- 4590
4648
    X"000000000000", -- 4591
4649
    X"000000000000", -- 4592
4650
    X"000000000000", -- 4593
4651
    X"000000000000", -- 4594
4652
    X"000000000000", -- 4595
4653
    X"000000000000", -- 4596
4654
    X"000000000000", -- 4597
4655
    X"000000000000", -- 4598
4656
    X"000000000000", -- 4599
4657
    X"000000000000", -- 4600
4658
    X"000000000000", -- 4601
4659
    X"000000000000", -- 4602
4660
    X"000000000000", -- 4603
4661
    X"000000000000", -- 4604
4662
    X"000000000000", -- 4605
4663
    X"000000000000", -- 4606
4664
    X"000000000000"  -- 4607
4665
  );
4666
 
4667
--END;
4668
 
4669
end G729A_ASIP_ROMI_PKG;
4670
 
4671
package body G729A_ASIP_ROMI_PKG is
4672
 
4673
end G729A_ASIP_ROMI_PKG;
4674
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.