OpenCores
URL https://opencores.org/ocsvn/galois_lfsr/galois_lfsr/trunk

Subversion Repositories galois_lfsr

[/] [galois_lfsr/] [trunk/] [rtl/] [packages/] [pkg-types.vhdl] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 daniel.kho
/*
2
        Tauhop Solutions common types.
3
 
4
        Author(s):
5
        - Daniel C.K. Kho, daniel.kho@opencores.org | daniel.kho@tauhop.com
6
 
7
        Copyright (C) 2012-2013 Authors and OPENCORES.ORG
8
 
9
        This source file may be used and distributed without
10
        restriction provided that this copyright statement is not
11
        removed from the file and that any derivative work contains
12
        the original copyright notice and the associated disclaimer.
13
 
14
        This source file is free software; you can redistribute it
15
        and/or modify it under the terms of the GNU Lesser General
16
        Public License as published by the Free Software Foundation;
17
        either version 2.1 of the License, or (at your option) any
18
        later version.
19
 
20
        This source is distributed in the hope that it will be
21
        useful, but WITHOUT ANY WARRANTY; without even the implied
22
        warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
23
        PURPOSE. See the GNU Lesser General Public License for more
24
        details.
25
 
26
        You should have received a copy of the GNU Lesser General
27
        Public License along with this source; if not, download it
28
        from http://www.opencores.org/lgpl.shtml.
29
*/
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.numeric_std.all;
33
use std.textio.all;
34
 
35
package types is
36
        type byte is array(7 downto 0) of std_logic;
37
        type byte_vector is array(natural range <>) of byte;
38
 
39
        /* VHDL-2008 datatypes.
40
                Comment out for simulation. Questa/ModelSim already supports this.
41
        */
42
        type boolean_vector is array(natural range <>) of boolean;
43
        type integer_vector is array(natural range <>) of integer;
44
        /* [end]: VHDL-2008 datatypes. */
45
end package types;
46
 
47
package body types is
48
end package body types;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.