OpenCores
URL https://opencores.org/ocsvn/galois_lfsr/galois_lfsr/trunk

Subversion Repositories galois_lfsr

[/] [galois_lfsr/] [trunk/] [testbench/] [questa/] [waves.do] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 daniel.kho
configure wave -signalnamewidth 1
2
 
3
add wave -divider "DUV"
4
#add wave -position end -decimal sim:/user/symbolsPerFrame
5
#add wave -position end -decimal sim:/user/rgmiiMac/outstandingTransactions
6
#add wave -position end  sim:/user/rgmiiMac/rgmiiTxState
7
#add wave -position end  sim:/user/rgmiiMac/next_rgmiiTxState
8
 
9
add wave -divider "Tester"
10
add wave -position end  sim:/user/clk
11
add wave -position end  sim:/user/reset
12
#add wave -position end -decimal sim:/user/i0_protocolCnt
13
#add wave -position end -decimal sim:/user/i1_protocolCnt
14
#add wave -position end -decimal sim:/user/protocolCnt
15
#add wave -position end  sim:/user/ethernetFsm
16
#add wave -position end  sim:/user/next_ethernetFsm
17
add wave -position end  sim:/user/parallelLoad
18
add wave -position end  sim:/user/loadEn
19
add wave -position end  sim:/user/computeClk
20
#add wave -position end -hexadecimal sim:/user/rgmiiMac_in
21
#add wave -position end -expand -hexadecimal sim:/user/rgmiiMac_out
22
add wave -position end  sim:/user/d
23
add wave -position end  sim:/user/crc32
24
add wave -position end  sim:/user/i_lfsr/i_d
25
add wave -position end  sim:/user/i_lfsr/i_q
26
add wave -position end  sim:/user/i_lfsr/x
27
add wave -position end  sim:/user/msg
28
add wave -position end  sim:/user/i_loaded
29
add wave -position end  sim:/user/i_computed
30
#add wave -position end -hexadecimal sim:/user/rgmiiRxRequest
31
#add wave -position end -expand -hexadecimal sim:/user/rgmiiTxRequest
32
#add wave -position end -hexadecimal sim:/user/rgmiiRxResponse
33
#add wave -position end -hexadecimal sim:/user/rgmiiRxResponse
34
#add wave -position end -unsigned -format analog-step -height 100 -scale 5 sim:/user/rgmiiMac_out.td
35
 
36
run 80 ns;
37
 
38
wave zoomfull
39
#.wave.tree zoomfull    # with some versions of ModelSim

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.