OpenCores
URL https://opencores.org/ocsvn/gamepads/gamepads/trunk

Subversion Repositories gamepads

[/] [gamepads/] [trunk/] [gcpad/] [rtl/] [vhdl/] [gcpad_comp-p.vhd] - Blame information for rev 41

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 arniml
-------------------------------------------------------------------------------
2
--
3
-- GCpad controller core
4
--
5
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
6
--
7 41 arniml
-- $Id: gcpad_comp-p.vhd 41 2009-04-01 19:58:04Z arniml $
8 24 arniml
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
package gcpad_comp is
15
 
16
  component gcpad_basic
17
    generic (
18
      reset_level_g    :       integer := 0;
19
      clocks_per_1us_g :       integer := 2
20
    );
21
    port (
22
      clk_i            : in    std_logic;
23
      reset_i          : in    std_logic;
24
      pad_request_i    : in    std_logic;
25
      pad_avail_o      : out   std_logic;
26
      pad_data_io      : inout std_logic;
27
      but_a_o          : out   std_logic;
28
      but_b_o          : out   std_logic;
29
      but_x_o          : out   std_logic;
30
      but_y_o          : out   std_logic;
31
      but_z_o          : out   std_logic;
32
      but_start_o      : out   std_logic;
33
      but_tl_o         : out   std_logic;
34
      but_tr_o         : out   std_logic;
35
      but_left_o       : out   std_logic;
36
      but_right_o      : out   std_logic;
37
      but_up_o         : out   std_logic;
38
      but_down_o       : out   std_logic;
39
      ana_joy_x_o      : out   std_logic_vector(7 downto 0);
40
      ana_joy_y_o      : out   std_logic_vector(7 downto 0);
41
      ana_c_x_o        : out   std_logic_vector(7 downto 0);
42
      ana_c_y_o        : out   std_logic_vector(7 downto 0);
43
      ana_l_o          : out   std_logic_vector(7 downto 0);
44
      ana_r_o          : out   std_logic_vector(7 downto 0)
45
    );
46
  end component;
47
 
48
  component gcpad_full
49
    generic (
50
      reset_level_g    :       integer := 0;
51
      clocks_per_1us_g :       integer := 2
52
    );
53
    port (
54
      clk_i            : in    std_logic;
55
      reset_i          : in    std_logic;
56
      pad_request_i    : in    std_logic;
57
      pad_avail_o      : out   std_logic;
58
      pad_timeout_o    : out   std_logic;
59
      tx_size_i        : in    std_logic_vector( 1 downto 0);
60
      tx_command_i     : in    std_logic_vector(23 downto 0);
61
      rx_size_i        : in    std_logic_vector( 3 downto 0);
62
      rx_data_o        : out   std_logic_vector(63 downto 0);
63
      pad_data_io      : inout std_logic
64
    );
65
  end component;
66
 
67
 
68
end gcpad_comp;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.