OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_test.vhd] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 nussgipfel
--  GECKO3COM IP Core
2
--
3 23 nussgipfel
--  Copyright (C) 2010 by
4 14 nussgipfel
--   ___    ___   _   _
5
--  (  _ \ (  __)( ) ( )
6
--  | (_) )| (   | |_| |   Bern University of Applied Sciences
7
--  |  _ < |  _) |  _  |   School of Engineering and
8
--  | (_) )| |   | | | |   Information Technology
9
--  (____/ (_)   (_) (_)
10
--
11
--  This program is free software: you can redistribute it and/or modify
12
--  it under the terms of the GNU General Public License as published by
13
--  the Free Software Foundation, either version 3 of the License, or
14
--  (at your option) any later version.
15
--
16
--  This program is distributed in the hope that it will be useful,
17
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
18
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
--  GNU General Public License for more details. 
20
--  You should have received a copy of the GNU General Public License
21
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
22
--
23
--  URL to the project description: 
24
--    http://labs.ti.bfh.ch/gecko/wiki/systems/gecko3com/start
25 23 nussgipfel
--------------------------------------------------------------------------------
26 14 nussgipfel
--
27
--  Author:  Andreas Habegger, Christoph Zimmermann
28 23 nussgipfel
--  Date of creation: 11. February 2010
29 14 nussgipfel
--  Description:
30 23 nussgipfel
--      Test scenario for the GECKO3com simple IP core.
31
--      (Not the one for Xilinx EDK)
32
--      This test module has two operation mode (selectable by external switch):
33
--      - Send back a response message stored in rom
34
--      - Send back a stream of pseudo random data. Size is defined as a constant
35 14 nussgipfel
--
36
--  Target Devices:     general
37
--  Tool versions:      11.1
38
--  Dependencies:
39
--
40 23 nussgipfel
--------------------------------------------------------------------------------
41 14 nussgipfel
 
42 11 nussgipfel
library ieee;
43
use ieee.std_logic_1164.all;
44 24 nussgipfel
use ieee.std_logic_unsigned.all;
45 11 nussgipfel
 
46
library work;
47 14 nussgipfel
use work.GECKO3COM_defines.all;
48 11 nussgipfel
 
49 24 nussgipfel
 
50 23 nussgipfel
entity GECKO3COM_simple_test is
51 11 nussgipfel
  port (
52 23 nussgipfel
    i_nReset      : in    std_logic;
53
    i_sysclk      : in    std_logic;    -- FPGA System CLK
54
    -- Interface signals to the EZ-USB FX2
55
    i_IFCLK       : in    std_logic;    -- GPIF CLK
56
    i_WRU         : in    std_logic;    -- write from GPIF
57
    i_RDYU        : in    std_logic;    -- GPIF is ready
58
    o_WRX         : out   std_logic;    -- To write to GPIF
59
    o_RDYX        : out   std_logic;    -- IP Core is ready
60
    -- bidirect data bus
61 24 nussgipfel
    b_gpif_bus    : inout std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
62 23 nussgipfel
    -- simple test "user interface" signals
63
    o_LEDrx       : out   std_logic;    -- controll LED receive data
64
    o_LEDtx       : out   std_logic;    -- controll LED send data
65
    o_LEDrun      : out   std_logic;    -- power LED
66
    i_mode_switch : in    std_logic_vector(2 downto 0));
67
end GECKO3COM_simple_test;
68 11 nussgipfel
 
69
 
70
 
71 23 nussgipfel
architecture behavour of GECKO3COM_simple_test is
72 11 nussgipfel
 
73 23 nussgipfel
  ----------------------------------------------------------------------------- 
74
  --     CONSTANTS  
75
  -----------------------------------------------------------------------------
76
  constant BUSWIDTH : integer := 32; -- you can choose here 32 or 16
77
 
78
  -- lenght of the message stored in the response message rom:
79 24 nussgipfel
  signal c_transfer_size_rom : std_logic_vector(31 downto 0) := x"0000000E";
80 23 nussgipfel
 
81
  -- we will transmitt 1 MiB data when the pseude random number generator
82
  -- is used:
83 24 nussgipfel
  signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00100000";
84 23 nussgipfel
 
85 11 nussgipfel
 
86 23 nussgipfel
  ----------------------------------------------------------------------------- 
87
  --     COMPONENTS  
88
  -----------------------------------------------------------------------------
89
  component GECKO3COM_simple
90
    generic (
91
      BUSWIDTH : integer);
92
    port (
93
      i_nReset                 : in    std_logic;
94
      i_sysclk                 : in    std_logic;
95
      i_receive_fifo_rd_en     : in    std_logic;
96
      o_receive_fifo_empty     : out   std_logic;
97
      o_receive_fifo_data      : out   std_logic_vector(BUSWIDTH-1 downto 0);
98
      o_receive_transfersize   : out   std_logic_vector(31 downto 0);
99
      o_receive_end_of_message : out   std_logic;
100
      o_receive_newdata        : out   std_logic;
101
      i_send_fifo_wr_en        : in    std_logic;
102
      o_send_fifo_full         : out   std_logic;
103
      i_send_fifo_data         : in    std_logic_vector(BUSWIDTH-1 downto 0);
104
      i_send_transfersize      : in    std_logic_vector(31 downto 0);
105
      i_send_transfersize_en   : in    std_logic;
106
      i_send_have_more_data    : in    std_logic;
107
      o_send_data_request      : out   std_logic;
108
      o_send_finished          : out   std_logic;
109
      o_rx                     : out   std_logic;
110
      o_tx                     : out   std_logic;
111
      i_IFCLK                  : in    std_logic;
112
      i_WRU                    : in    std_logic;
113
      i_RDYU                   : in    std_logic;
114
      o_WRX                    : out   std_logic;
115
      o_RDYX                   : out   std_logic;
116
      b_gpif_bus               : inout std_logic_vector(SIZE_DBUS_GPIF-1 downto 0));
117
  end component;
118
 
119
 
120
  component response_message_rom
121
    port (
122
      A : in  std_logic_vector(3 downto 0);
123
      D : out std_logic_vector(31 downto 0));
124
  end component;
125 11 nussgipfel
 
126 23 nussgipfel
  -----------------------------------------------------------------------------
127
  -- interconection signals
128
  -----------------------------------------------------------------------------
129 11 nussgipfel
 
130 23 nussgipfel
  signal s_receive_fifo_rd_en     : std_logic;
131
  signal s_receive_fifo_empty     : std_logic;
132
  signal s_receive_fifo_data      : std_logic_vector(BUSWIDTH-1 downto 0);
133
  signal s_receive_transfersize   : std_logic_vector(31 downto 0);
134
  signal s_receive_end_of_message : std_logic;
135
  signal s_receive_newdata        : std_logic;
136
  signal s_send_fifo_wr_en        : std_logic;
137
  signal s_send_fifo_full         : std_logic;
138
  signal s_send_fifo_data         : std_logic_vector(BUSWIDTH-1 downto 0);
139
  signal s_send_transfersize      : std_logic_vector(31 downto 0);
140
  signal s_send_transfersize_en   : std_logic;
141
  signal s_send_have_more_data    : std_logic;
142
  signal s_send_data_request      : std_logic;
143
  signal s_send_finished          : std_logic;
144
 
145
  signal s_mode                              : std_logic_vector(1 downto 0);
146
  signal s_transfer_size_reg_select          : std_logic;
147
  signal s_transfer_size_reg_en              : std_logic;
148
  signal s_send_counter_reset                : std_logic;
149
  signal s_send_counter_en                   : std_logic;
150
  signal s_send_counter_equals_transfer_size : std_logic;
151
  signal s_prng_en                           : std_logic;
152
  signal s_prng_feedback                     : std_logic;
153
  signal s_receive_data_error                : std_logic;
154
 
155
  signal s_receive_data_old        : std_logic_vector(31 downto 0);
156
  signal s_selected_transfer_size  : std_logic_vector(31 downto 0);
157
  signal s_remaining_transfer_size : std_logic_vector(31 downto 0);
158 24 nussgipfel
  signal s_subtract_value          : std_logic_vector(31 downto 0);
159 23 nussgipfel
  signal s_send_counter_value      : std_logic_vector(31 downto 0);
160
  signal s_prng_data               : std_logic_vector(31 downto 0);
161
  signal s_message_rom_data        : std_logic_vector(31 downto 0);
162
 
163 24 nussgipfel
 
164 11 nussgipfel
  -----------------------------------------------------------------------------
165 23 nussgipfel
  -- finite state machine signals
166 11 nussgipfel
  -----------------------------------------------------------------------------
167 23 nussgipfel
    -- XST specific synthesize attributes
168
  attribute safe_implementation: string;
169
  attribute safe_recovery_state: string;
170 11 nussgipfel
 
171 23 nussgipfel
  type t_fsmState is (st1_idle, st2_get_data, st3_load_total_transfer_size,
172
                      st4_save_remaining_transfer_size, st5_send_data,
173
                      st6_send_wait, st7_subtract_transfered_data,
174
                      st8_reset_send_counter);
175 11 nussgipfel
 
176 23 nussgipfel
  signal state, next_state : t_fsmState;
177
 
178
  -- XST specific synthesize attributes
179 24 nussgipfel
  attribute safe_recovery_state of state : signal is "st1_idle";
180
  attribute safe_implementation of state : signal is "yes";
181 14 nussgipfel
 
182
 
183 11 nussgipfel
 
184 23 nussgipfel
begin --  behavour
185 11 nussgipfel
 
186 23 nussgipfel
  GECKO3COM_simple_1: GECKO3COM_simple
187
    generic map (
188
      BUSWIDTH => BUSWIDTH)
189
    port map (
190
      i_nReset                 => i_nReset,
191
      i_sysclk                 => i_sysclk,
192
      i_receive_fifo_rd_en     => s_receive_fifo_rd_en,
193
      o_receive_fifo_empty     => s_receive_fifo_empty,
194
      o_receive_fifo_data      => s_receive_fifo_data,
195
      o_receive_transfersize   => s_receive_transfersize,
196
      o_receive_end_of_message => s_receive_end_of_message,
197
      o_receive_newdata        => s_receive_newdata,
198
      i_send_fifo_wr_en        => s_send_fifo_wr_en,
199
      o_send_fifo_full         => s_send_fifo_full,
200
      i_send_fifo_data         => s_send_fifo_data,
201
      i_send_transfersize      => s_send_transfersize,
202
      i_send_transfersize_en   => s_send_transfersize_en,
203
      i_send_have_more_data    => s_send_have_more_data,
204
      o_send_data_request      => s_send_data_request,
205
      o_send_finished          => s_send_finished,
206
      o_rx                     => o_LEDrx,
207
      o_tx                     => o_LEDtx,
208
      i_IFCLK                  => i_IFCLK,
209
      i_WRU                    => i_WRU,
210
      i_RDYU                   => i_RDYU,
211
      o_WRX                    => o_WRX,
212
      o_RDYX                   => o_RDYX,
213
      b_gpif_bus               => b_gpif_bus);
214 11 nussgipfel
 
215
 
216 23 nussgipfel
  response_message_rom_1: response_message_rom
217
    port map (
218
      A => s_send_counter_value(3 downto 0),
219
      D => s_message_rom_data);
220
 
221
 
222
  o_LEDrun <= '1';
223
 
224
 
225
  -- purpose: converts the mode_switch input to a binary coded value
226
  -- type   : combinational
227
  -- inputs : i_mode_switch
228
  -- outputs: s_mode
229
  mode_switch_decoder: process (i_mode_switch)
230
  begin  -- process mode_switch_decoder
231 24 nussgipfel
    if i_mode_switch = "001" then
232 23 nussgipfel
      s_mode <= "00";
233 24 nussgipfel
    elsif i_mode_switch = "010" then
234 23 nussgipfel
      s_mode <= "01";
235 24 nussgipfel
    elsif i_mode_switch = "100" then
236 23 nussgipfel
      s_mode <= "10";
237
    else
238
      s_mode <= "00";
239 11 nussgipfel
    end if;
240 23 nussgipfel
  end process mode_switch_decoder;
241 11 nussgipfel
 
242
 
243
  -----------------------------------------------------------------------------
244 23 nussgipfel
  -- components needed in the send path
245
  -----------------------------------------------------------------------------
246
 
247
  -- purpose: mulitiplexer to select the send data source
248
  -- type   : combinational
249
  -- inputs : s_mode, s_prng_data, s_message_rom_data
250
  -- outputs: s_send_fifo_data
251
  send_data_mux: process (s_mode, s_prng_data, s_message_rom_data)
252
  begin  -- process send_data_mux
253 24 nussgipfel
    case s_mode is
254 23 nussgipfel
      when "00" => s_send_fifo_data <= s_message_rom_data;
255
      when "01" => s_send_fifo_data <= s_prng_data;
256 24 nussgipfel
      when others => s_send_fifo_data <= (others => 'X');
257 23 nussgipfel
    end case;
258
  end process send_data_mux;
259 11 nussgipfel
 
260 23 nussgipfel
 
261
  -- purpose: mulitiplexer to select the send transfer size
262
  -- type   : combinational
263
  -- inputs : s_mode, c_transfer_size_rom, c_transfer_size_prng
264
  -- outputs: s_selected_transfer_size
265
  send_transfersize_mode_mux: process (s_mode, c_transfer_size_rom, c_transfer_size_prng)
266
  begin  -- process send_transfersize_mode_mux
267
    case s_mode is
268
      when "00" => s_selected_transfer_size <= c_transfer_size_rom;
269
      when "01" => s_selected_transfer_size <= c_transfer_size_prng;
270 24 nussgipfel
      when others => s_selected_transfer_size <= (others => 'X');
271 23 nussgipfel
    end case;
272
  end process send_transfersize_mode_mux;
273 11 nussgipfel
 
274
 
275 23 nussgipfel
  -- purpose: stores the initial or remaining transfer size
276
  -- type   : sequential
277
  -- inputs : i_sysclk, i_nReset, s_transfer_size_reg_en, s_transfer_size_reg_select,
278
  --          s_subtract_value
279
  -- outputs: s_remaining_transfer_size
280
  remaining_transfer_size_reg: process (i_sysclk, i_nReset)
281
  begin  -- process current_transfer_size_reg
282
    if i_nReset = '0' then              -- asynchronous reset (active low)
283
      s_remaining_transfer_size <= (others => '0');
284
    elsif i_sysclk'event and i_sysclk = '1' then  -- rising clock edge
285
      if s_transfer_size_reg_en = '1' then
286
        if s_transfer_size_reg_select = '1' then
287
          s_remaining_transfer_size <= s_selected_transfer_size;
288
        else
289
          s_remaining_transfer_size <= s_subtract_value;
290
        end if;
291
      end if;
292
    end if;
293 24 nussgipfel
  end process remaining_transfer_size_reg;
294 11 nussgipfel
 
295 23 nussgipfel
 
296
  -- maximum alowed transfer size comparator
297 24 nussgipfel
  s_send_have_more_data <=
298 23 nussgipfel
    '1' when s_remaining_transfer_size > s_receive_transfersize else
299
    '0';
300
 
301
 
302
  -- purpose: mulitiplexer to select the send transfer size
303
  -- type   : combinational
304
  -- inputs : s_have_more_data, s_remaining_transfer_size,
305
  --          s_receive_transfersize
306
  -- outputs: s_send_transfersize
307 24 nussgipfel
  send_transfersize_mux: process (s_send_have_more_data, s_receive_transfersize,
308
                                  s_remaining_transfer_size)
309
 
310 23 nussgipfel
  begin  -- process send_transfersize_mux
311 24 nussgipfel
    case s_send_have_more_data is
312 23 nussgipfel
      when '0' => s_send_transfersize <= s_remaining_transfer_size;
313 24 nussgipfel
      when '1' => s_send_transfersize <= s_receive_transfersize;
314
      when others => s_send_transfersize <= (others => 'X');
315 23 nussgipfel
    end case;
316
  end process send_transfersize_mux;
317
 
318
 
319
  -- purpose: up counter for the send transfer size
320
  -- type   : sequential
321
  -- inputs : i_sysclk, i_nReset, s_send_counter_en, s_send_counter_reset
322
  --          
323
  -- outputs: s_send_counter_value
324
  send_counter : process (i_sysclk, i_nReset)
325
  begin  -- process send_counter
326
    if i_nReset = '0' then              -- asynchronous reset (active low)
327
      s_send_counter_value <= (others => '0');
328
    elsif i_sysclk'event and i_sysclk = '1' then  -- rising clock edge
329 24 nussgipfel
      if s_send_counter_reset = '1' then
330 23 nussgipfel
        s_send_counter_value <= (others => '0');
331 11 nussgipfel
      end if;
332 24 nussgipfel
      if s_send_counter_en = '1' then
333 23 nussgipfel
        s_send_counter_value <= s_send_counter_value + 1;
334
      end if;
335
    end if;
336
  end process send_counter;
337
 
338
  -- transfer size counter comparator
339
  s_send_counter_equals_transfer_size <=
340
    '1' when s_send_counter_value = s_send_transfersize else
341
    '0';
342 11 nussgipfel
 
343
 
344 23 nussgipfel
  -- purpose: subracts the send counter end value from the remaining transfer size value
345
  -- type   : combinational
346
  -- inputs : s_remaining_transfer_size, s_send_counter_value
347
  -- outputs: s_subtract_value
348
  transfer_size_subract: process (s_remaining_transfer_size, s_send_counter_value)
349
  begin  -- process transfer_size_subract
350
    s_subtract_value <= s_remaining_transfer_size - s_send_counter_value;
351
  end process transfer_size_subract;
352 11 nussgipfel
 
353 23 nussgipfel
 
354
 
355
  -----------------------------------------------------------------------------
356
  -- components needed in the receive path
357
  -----------------------------------------------------------------------------
358
 
359
  -- purpose: saves the previous received data word
360
  -- type   : sequential
361
  -- inputs : i_sysclk, i_nReset, s_receive_fifo_data, s_receive_fifo_rd_en
362
  -- outputs: s_receive_fifo_data_old
363
  receive_fifo_data_reg: process (i_sysclk, i_nReset)
364
  begin  -- process receive_fifo_data_reg
365
    if i_nReset = '0' then              -- asynchronous reset (active low)
366 24 nussgipfel
      s_receive_data_old <= (others => '0');
367 23 nussgipfel
    elsif i_sysclk'event and i_sysclk = '1' then  -- rising clock edge
368
      if s_receive_fifo_rd_en = '1' then
369 24 nussgipfel
        s_receive_data_old <= s_receive_fifo_data;
370 23 nussgipfel
      end if;
371
    end if;
372
  end process receive_fifo_data_reg;
373
 
374
 
375
  -- receive data comparator
376
  -- (use together with test data with incrementing values)
377
  s_receive_data_error <=
378 24 nussgipfel
    '0' when s_receive_data_old + 1 = s_receive_fifo_data else
379 23 nussgipfel
    '1';
380
 
381
 
382
  -- purpose: linear shift register for the pseude random number
383
  --          generator (PRNG)
384
  -- type   : sequential
385
  -- inputs : i_sysclk, i_nReset, s_prng_en, s_prng_feedback
386
  -- outputs: s_prng_data
387
  prng_shiftregister: process (i_sysclk, i_nReset)
388
  begin  -- process prng_shiftregister
389
    if i_nReset = '0' then              -- asynchronous reset (active low)
390 24 nussgipfel
      s_prng_data <= "01010101010101010101010101010101";
391 23 nussgipfel
    elsif i_sysclk'event and i_sysclk = '1' then  -- rising clock edge
392
      if s_prng_en = '1' then
393 24 nussgipfel
        s_prng_data(31 downto 1) <= s_prng_data(30 downto 0);
394
        s_prng_data(0) <= s_prng_feedback;
395 23 nussgipfel
      end if;
396
    end if;
397
  end process prng_shiftregister;
398
 
399
  -- purpose: feedback polynom for the pseudo random number generator (PRNG)
400
  -- inputs : s_prng_data
401
  -- outputs: s_prng_feedback
402
  s_prng_feedback <= s_prng_data(15) xor s_prng_data(13) xor s_prng_data(12)
403
                     xor s_prng_data(10);
404
 
405
 
406 24 nussgipfel
 
407 23 nussgipfel
  -----------------------------------------------------------------------------
408
  -- finite state machine (moore)
409
  -----------------------------------------------------------------------------
410
 
411
  -- state reg
412
  fsm_state_reg : process(i_sysclk, i_nReset)
413
  begin
414
    if i_nReset = '0' then
415
      state <= st1_idle;
416
    elsif i_sysclk'event and i_sysclk = '1' then
417
        state <= next_state;
418
    end if;
419
  end process fsm_state_reg;
420
 
421
 
422
  -- comb logic
423
  next_state_decode: process(state, s_receive_fifo_empty, s_send_fifo_full,
424 24 nussgipfel
                             s_send_data_request, s_send_have_more_data, s_mode,
425
                             s_send_counter_equals_transfer_size)
426 23 nussgipfel
  begin  -- process next_state_decode
427
 
428
    --declare default state for next_state to avoid latches
429
    next_state <= state;           --default is to stay in current state
430
 
431
    -- default signal values to avoid latches:
432
    s_receive_fifo_rd_en       <= '0';
433
    s_send_transfersize_en     <= '0';
434
    s_send_fifo_wr_en          <= '0';
435
    s_transfer_size_reg_select <= '0';
436
    s_transfer_size_reg_en     <= '0';
437
    s_send_counter_reset       <= '0';
438
    s_send_counter_en          <= '0';
439
    s_prng_en                  <= '0';
440
 
441
    case state is
442
      -- controll
443
 
444
      when st1_idle =>
445
 
446
        if s_receive_fifo_empty = '0' then
447
          next_state <= st2_get_data;
448
        elsif s_send_data_request = '1' then
449
          next_state <= st3_load_total_transfer_size;
450 11 nussgipfel
        end if;
451 23 nussgipfel
 
452
      when st2_get_data =>
453
        s_receive_fifo_rd_en <= '1';
454 11 nussgipfel
 
455 23 nussgipfel
        if s_receive_fifo_empty = '1' then
456
          next_state <= st1_idle;
457
        end if;
458
 
459
      when st3_load_total_transfer_size =>
460
        s_send_counter_reset       <= '1';
461
        s_transfer_size_reg_en     <= '1';
462
        s_transfer_size_reg_select <= '1';
463 11 nussgipfel
 
464 23 nussgipfel
        next_state <= st4_save_remaining_transfer_size;
465
 
466
      when st4_save_remaining_transfer_size =>
467
        s_send_transfersize_en <= '1';
468 11 nussgipfel
 
469 23 nussgipfel
        next_state <= st5_send_data;
470
 
471
      when st5_send_data =>
472
        s_send_fifo_wr_en <= '1';
473
        s_send_counter_en <= '1';
474
        if s_mode = "01" then
475
          s_prng_en <= '1';
476
        end if;
477
 
478 24 nussgipfel
        if s_send_counter_equals_transfer_size = '1' and
479
          s_send_have_more_data = '0'
480
        then
481 23 nussgipfel
          next_state <= st1_idle;
482 24 nussgipfel
        elsif s_send_counter_equals_transfer_size = '1' and
483
          s_send_have_more_data = '1'
484
        then
485 23 nussgipfel
          next_state <= st7_subtract_transfered_data;
486
        elsif s_send_fifo_full = '1' then
487
          next_state <= st6_send_wait;
488
        end if;
489
 
490
      when st6_send_wait =>
491
 
492
        if s_send_fifo_full = '0' then
493
          next_state <= st5_send_data;
494
        end if;
495
 
496 24 nussgipfel
      when st7_subtract_transfered_data =>
497 23 nussgipfel
          s_transfer_size_reg_select <= '0';
498
        s_transfer_size_reg_en <= '1';
499
 
500
        if s_send_data_request = '1' then
501 24 nussgipfel
          next_state <= st8_reset_send_counter;
502 23 nussgipfel
        end if;
503
 
504
      when st8_reset_send_counter =>
505
        s_send_counter_reset <= '1';
506
 
507
        next_state <= st4_save_remaining_transfer_size;
508
 
509
      when others =>
510
        next_state <= st1_idle;
511
    end case;
512
 
513
  end process next_state_decode;
514
 
515
end  behavour;
516
 
517
 
518
----------------------------------------------------------------------------- 
519
--  RESPONSE MESSAGE ROM  
520
-----------------------------------------------------------------------------
521
-- This file was generated with hex2rom written by Daniel Wallner
522
 
523 24 nussgipfel
library ieee;
524
use ieee.std_logic_1164.all;
525
use IEEE.numeric_std.all;
526
 
527 23 nussgipfel
entity response_message_rom is
528
        port(
529
                A       : in std_logic_vector(3 downto 0);
530
                D       : out std_logic_vector(31 downto 0)
531
        );
532
end response_message_rom;
533
 
534
architecture rtl of response_message_rom is
535
        subtype ROM_WORD is std_logic_vector(31 downto 0);
536
        type ROM_TABLE is array(0 to 3) of ROM_WORD;
537
        signal ROM: ROM_TABLE := ROM_TABLE'(
538
                "00100010001000000010110000110000",     -- 0x0000
539
                "01100101001000000110111101001110",     -- 0x0004
540
                "01110010011011110111001001110010",     -- 0x0008
541
                "00001010000010100000101000100010");    -- 0x000C
542
begin
543
        D <= ROM(to_integer(unsigned(A)));
544
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.