OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [message_rom.vhd] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 nussgipfel
-- This file was generated with hex2rom written by Daniel Wallner
2
 
3
library IEEE;
4
use IEEE.std_logic_1164.all;
5
use IEEE.numeric_std.all;
6
 
7
entity message_rom is
8
        port(
9
                A       : in std_logic_vector(4 downto 0);
10
                D       : out std_logic_vector(15 downto 0)
11
        );
12
end message_rom;
13
 
14
architecture rtl of message_rom is
15
        subtype ROM_WORD is std_logic_vector(15 downto 0);
16
        type ROM_TABLE is array(0 to 31) of ROM_WORD;
17
        signal ROM: ROM_TABLE := ROM_TABLE'(
18
                "0000001000000010",     -- 0x0000
19
                "0000000011111101",     -- 0x0002
20
                "0000000000100000",     -- 0x0004
21
                "0000000000000000",     -- 0x0006
22
                "0000000000000001",     -- 0x0008
23
                "0000000000000000",     -- 0x000A
24
                "0110100101001101",     -- 0x000C
25
                "0111001001100011",     -- 0x000E
26
                "0100110001101111",     -- 0x0010
27
                "0110001001100001",     -- 0x0012
28
                "0100001000100000",     -- 0x0014
29
                "0100100001000110",     -- 0x0016
30
                "0101010000101101",     -- 0x0018
31
                "0010110001001001",     -- 0x001A
32
                "0100010101000111",     -- 0x001C
33
                "0100101101000011",     -- 0x001E
34
                "0011001101001111",     -- 0x0020
35
                "0100111101000011",     -- 0x0022
36
                "0010110001001101",     -- 0x0024
37
                "0011100100110001",     -- 0x0026
38
                "0011000000101100",     -- 0x0028
39
                "0011001100101110",     -- 0x002A
40
                "0000000000001010",     -- 0x002C
41
                "----------------",     -- 0x002E
42
                "----------------",     -- 0x0030
43
                "----------------",     -- 0x0032
44
                "----------------",     -- 0x0034
45
                "----------------",     -- 0x0036
46
                "----------------",     -- 0x0038
47
                "----------------",     -- 0x003A
48
                "----------------",     -- 0x003C
49
                "----------------");    -- 0x003E
50
begin
51
        D <= ROM(to_integer(unsigned(A)));
52
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.