OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [message_rom.vhd] - Blame information for rev 20

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 nussgipfel
-- This file was generated with hex2rom written by Daniel Wallner
2
 
3
library IEEE;
4
use IEEE.std_logic_1164.all;
5
use IEEE.numeric_std.all;
6
 
7
entity message_rom is
8
        port(
9
                A       : in std_logic_vector(4 downto 0);
10
                D       : out std_logic_vector(15 downto 0)
11
        );
12
end message_rom;
13
 
14
architecture rtl of message_rom is
15
        subtype ROM_WORD is std_logic_vector(15 downto 0);
16
        type ROM_TABLE is array(0 to 31) of ROM_WORD;
17
        signal ROM: ROM_TABLE := ROM_TABLE'(
18 20 nussgipfel
                "0000000000000000",     -- 0x0000
19 18 nussgipfel
                "0000001000000010",     -- 0x0000
20
                "0000000011111101",     -- 0x0002
21 20 nussgipfel
                "0000000000100001",     -- 0x0004
22 18 nussgipfel
                "0000000000000000",     -- 0x0006
23
                "0000000000000001",     -- 0x0008
24
                "0000000000000000",     -- 0x000A
25
                "0110100101001101",     -- 0x000C
26
                "0111001001100011",     -- 0x000E
27
                "0100110001101111",     -- 0x0010
28
                "0110001001100001",     -- 0x0012
29
                "0100001000100000",     -- 0x0014
30
                "0100100001000110",     -- 0x0016
31
                "0101010000101101",     -- 0x0018
32
                "0010110001001001",     -- 0x001A
33
                "0100010101000111",     -- 0x001C
34
                "0100101101000011",     -- 0x001E
35
                "0011001101001111",     -- 0x0020
36
                "0100111101000011",     -- 0x0022
37
                "0010110001001101",     -- 0x0024
38
                "0011100100110001",     -- 0x0026
39
                "0011000000101100",     -- 0x0028
40
                "0011001100101110",     -- 0x002A
41
                "0000000000001010",     -- 0x002C
42
                "----------------",     -- 0x002E
43
                "----------------",     -- 0x0030
44
                "----------------",     -- 0x0032
45
                "----------------",     -- 0x0034
46
                "----------------",     -- 0x0036
47
                "----------------",     -- 0x0038
48
                "----------------",     -- 0x003A
49 20 nussgipfel
                "----------------");    -- 0x003C
50 18 nussgipfel
begin
51
        D <= ROM(to_integer(unsigned(A)));
52
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.