OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [trunk/] [rtl/] [modules/] [00.Adder.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alimpk
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
 
4
entity Adder is
5
        port(
6
                A               : in  std_logic_vector;
7
                B               : in  std_logic_vector;
8
                Cin     : in  std_logic;
9
                S               : out std_logic_vector;
10
                Cout    : out std_logic);
11
end Adder;
12
 
13
architecture Behavioral of Adder is
14
        component FullAdder is
15
                port(
16
                        A        :in    std_logic;
17
                        B        :in    std_logic;
18
                        Cin :in std_logic;
19
                        Sum :out std_logic;
20
                        Cout:out std_logic);
21
        end component;
22
        signal carry : std_logic_vector(A'length downto 0);
23
begin
24
        carry(0) <= cin;
25
        cout <= carry(A'length);
26
        AdderGen : for i in A'range generate
27
                FA : FullAdder port map(A(i),B(i),carry(i),S(i),carry(i+1));
28
        end generate;
29
 
30
 
31
end Behavioral;
32
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.