OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [trunk/] [rtl/] [modules/] [00.Ander.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alimpk
 
2
library IEEE;
3
use IEEE.STD_LOGIC_1164.ALL;
4
 
5
entity Ander is
6
        port(
7
                input1 : in      std_logic;
8
                input2 : in  std_logic_vector;
9
                result : out std_logic_vector);
10
end Ander;
11
 
12
architecture Behavioral of Ander is
13
 
14
begin
15
        process(input1,input2)
16
        begin
17
                 for i in input2'range loop
18
                        result(i) <= input1 and input2(i);
19
                 end loop;
20
        end process;
21
 
22
end Behavioral;
23
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.