OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [trunk/] [rtl/] [modules/] [00.XorCrearor.vhd] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alimpk
 
2
library IEEE;
3
use IEEE.STD_LOGIC_1164.ALL;
4
 
5
entity XorCrearor is
6 6 alimpk
        generic (
7
                size: integer := 4
8
        );
9 2 alimpk
        port(
10
                input1 : in      std_logic;
11 6 alimpk
                input2 : in  std_logic_vector(size-1 downto 0);
12
                result : out std_logic_vector(size-1 downto 0));
13 2 alimpk
end XorCrearor;
14
 
15
architecture Behavioral of XorCrearor is
16
 
17
begin
18
        process(input1,input2)
19
        begin
20
                 for i in input2'range loop
21
                        result(i) <= input1 xor input2(i);
22
                 end loop;
23
        end process;
24
 
25
 
26
end Behavioral;
27
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.