OpenCores
URL https://opencores.org/ocsvn/generic_parameterized_carry_lookahead_adder/generic_parameterized_carry_lookahead_adder/trunk

Subversion Repositories generic_parameterized_carry_lookahead_adder

[/] [generic_parameterized_carry_lookahead_adder/] [trunk/] [rtl/] [carry_generate.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Kanish
module carry_generate(P,G,C,Cin);
2
    parameter N = 2;
3
    input [N-1:0]P,G;
4
        input Cin;
5
    output [N:0]C;
6
    assign C[0] =Cin;
7
    genvar i;
8
        generate for(i=1;i<=N;i=i+1) begin
9
        assign C[i] = G[i-1] | (P[i-1]&C[i-1]);
10
    end
11
    endgenerate
12
 
13
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.