OpenCores
URL https://opencores.org/ocsvn/genesys_ddr2/genesys_ddr2/trunk

Subversion Repositories genesys_ddr2

[/] [genesys_ddr2/] [trunk/] [rtl/] [ddr2_user_if_top.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 oana.bonca
//*****************************************************************************
2
// Company: UPT
3
// Engineer: Oana Boncalo & Alexandru Amaricai
4
// 
5
// Create Date:    10:23:39 11/26/2012 
6
// Design Name: 
7
// Module Name:    DDR2 user IF for Genesys board
8
// Project Name: 
9
// Target Devices: 
10
// Tool versions: 
11
//Device: Virtex-5
12
//Reference:
13
//Revision History:
14
//*****************************************************************************
15
 
16
`timescale 1ns/1ps
17
 
18
module ddr2_user_if_top #
19
  (
20
   // Following parameters are for 72-bit RDIMM design (for ML561 Reference 
21
   // board design). Actual values may be different. Actual parameters values 
22
   // are passed from design top module MEMCtrl module. Please refer to
23
   // the MEMCtrl module for actual values.
24
   parameter BANK_WIDTH    = 2,
25
   parameter COL_WIDTH     = 10,
26
   parameter DM_WIDTH      = 9,
27
   parameter DQ_WIDTH      = 72,
28
   parameter ROW_WIDTH     = 14,
29
   parameter APPDATA_WIDTH = 144,
30
   parameter ECC_ENABLE    = 0,
31
   parameter BURST_LEN     = 4
32
   )
33
  (
34
   input                                  clk0,
35
   input                                  rst0,
36
   input                                  app_af_afull,
37
   input                                  app_wdf_afull,
38
   input                                  rd_data_valid,
39
   input [APPDATA_WIDTH-1:0]              rd_data_fifo_out,
40
   input                                  phy_init_done,
41
        input                                                                                           rd_cmd,
42
        input                                                                                           wr_cmd,
43
        input  [30:0]                                                                    bus_if_addr,
44
        input  [APPDATA_WIDTH-1:0]             bus_if_wr_data,
45
   input  [(APPDATA_WIDTH/8)-1:0]         bus_if_wr_mask_data,
46
        output                                                                                  end_op,
47
        output                                                                                  req_wd,
48
   output                                 app_af_wren,
49
   output [2:0]                           app_af_cmd,
50
   output [30:0]                          app_af_addr,
51
   output                                 app_wdf_wren,
52
   output [APPDATA_WIDTH-1:0]             app_wdf_data,
53
   output [(APPDATA_WIDTH/8)-1:0]         app_wdf_mask_data,
54
   output                                 error,
55
   output                                 error_cmp
56
   );
57
 
58
  localparam BURST_LEN_DIV2 = BURST_LEN/2;
59
 
60
  localparam IDLE_CMD  = 3'b000;
61
  localparam WRITE_CMD = 3'b001;
62
  localparam READ_CMD  = 3'b010;
63
 
64
  reg                      app_af_not_afull_r;
65
  wire [APPDATA_WIDTH-1:0] app_cmp_data;
66
  reg                      app_wdf_not_afull_r ;
67
  reg [2:0]                burst_cnt;
68
  reg                      phy_init_done_tb_r;
69
  wire                     phy_init_done_r;
70
  reg                      rst_r
71
                           /* synthesis syn_preserve = 1 */;
72
  reg                      rst_r1
73
                           /* synthesis syn_maxfan = 10 */;
74
  reg [2:0]                state;
75
  reg [3:0]                state_cnt;
76
  reg                      wr_addr_en ;
77
  reg                      wr_data_en ;
78
  reg                                                   rd_op;
79
  reg                                           end_op_r;
80
 
81
  // XST attributes for local reset "tree"
82
  // synthesis attribute shreg_extract of rst_r is "no";
83
  // synthesis attribute shreg_extract of rst_r1 is "no";
84
  // synthesis attribute equivalent_register_removal of rst_r is "no"
85
 
86
  //*****************************************************************
87
 
88
  // local reset "tree" for controller logic only. Create this to ease timing
89
  // on reset path. Prohibit equivalent register removal on RST_R to prevent
90
  // "sharing" with other local reset trees (caution: make sure global fanout
91
  // limit is set to larger than fanout on RST_R, otherwise SLICES will be
92
  // used for fanout control on RST_R.
93
  always @(posedge clk0) begin
94
    rst_r  <= rst0;
95
    rst_r1 <= rst_r;
96
  end
97
 
98
  // Instantiate flops for timing.
99
    FDRSE ff_phy_init_done
100
    (
101
     .Q   (phy_init_done_r),
102
     .C   (clk0),
103
     .CE  (1'b1),
104
     .D   (phy_init_done),
105
     .R   (1'b0),
106
     .S   (1'b0)
107
     );
108
 
109
        assign end_op = end_op_r;
110
  //***************************************************************************
111
  // State Machine for writing to WRITE DATA & ADDRESS FIFOs
112
  // state machine changed for low FIFO threshold values
113
  //***************************************************************************
114
 
115
  always @(posedge clk0) begin
116
    if (rst_r1) begin
117
      wr_data_en          <= 1'bx;
118
      wr_addr_en          <= 1'bx;
119
      state[2:0]          <= IDLE_CMD;
120
      state_cnt           <= 4'bxxxx;
121
      app_af_not_afull_r  <= 1'bx;
122
      app_wdf_not_afull_r <= 1'bx;
123
      burst_cnt           <= 3'bxxx;
124
      phy_init_done_tb_r  <= 1'bx;
125
                rd_op <= 1'bx;
126
                end_op_r <= 1'bx;
127
    end else begin
128
      wr_data_en          <= 1'b0;
129
      wr_addr_en          <= 1'b0;
130
                rd_op                             <= 1'b0;
131
                end_op_r                          <= 1'b0;
132
      app_af_not_afull_r  <= ~app_af_afull;
133
      app_wdf_not_afull_r <= ~app_wdf_afull;
134
      phy_init_done_tb_r  <= phy_init_done_r;
135
 
136
      case (state)
137
        IDLE_CMD: begin
138
          state_cnt  <= 4'd0;
139
          burst_cnt  <= BURST_LEN_DIV2 - 1;
140
                         end_op_r <= 1'b0;
141
          // only start writing when initialization done
142
          if (app_wdf_not_afull_r && app_af_not_afull_r && phy_init_done_tb_r)
143
                                 begin
144
                                        if (rd_cmd)
145
                                                state <= READ_CMD;
146
                                        if (wr_cmd)
147
                                                state <= WRITE_CMD;
148
                                 end
149
        end
150
 
151
        WRITE_CMD:
152
          if (app_wdf_not_afull_r && app_af_not_afull_r)
153
                         begin
154
            wr_data_en <= 1'b1;
155
            // When we're done with the current burst...
156
            if (burst_cnt == 3'd0)
157
                                        begin
158
                                          wr_addr_en <= 1'b1;
159
                                          state      <= IDLE_CMD;
160
                                          end_op_r   <= 1'b1;
161
                                        end
162
                                else
163
              burst_cnt <= burst_cnt - 1;
164
          end
165
 
166
        READ_CMD: begin
167
          burst_cnt <= BURST_LEN_DIV2 - 1;
168
          if (app_af_not_afull_r)
169
                                 begin
170
                                        wr_addr_en <= 1'b1;
171
                                        rd_op <= 1'b1;
172
                                        state <= IDLE_CMD;
173
                                        end_op_r <= 1'b1;
174
                                 end
175
          end
176
      endcase
177
    end
178
  end
179
  assign req_wd = (!wr_addr_en && wr_data_en)? 1'b1:1'b0;
180
 
181
  // Command/Address and Write Data generation
182
  ddr2_adr_data_gen #
183
    (
184
     .BANK_WIDTH    (BANK_WIDTH),
185
     .COL_WIDTH     (COL_WIDTH),
186
     .DM_WIDTH      (DM_WIDTH),
187
     .DQ_WIDTH      (DQ_WIDTH),
188
     .APPDATA_WIDTH (APPDATA_WIDTH),
189
     .ECC_ENABLE    (ECC_ENABLE),
190
     .ROW_WIDTH     (ROW_WIDTH)
191
     )
192
    u_adr_data_gen
193
      (
194
       .clk               (clk0),
195
       .rst               (rst0),
196
       .wr_addr_en        (wr_addr_en),
197
       .wr_data_en        (wr_data_en),
198
                 .rd_op                                   (rd_op),
199
       .rd_data_valid     (rd_data_valid),
200
                 .bus_if_addr             (bus_if_addr),
201
       .app_af_wren       (app_af_wren),
202
                 .bus_if_wr_mask_data(bus_if_wr_mask_data),
203
                 .bus_if_wr_data    (bus_if_wr_data),
204
       .app_af_cmd        (app_af_cmd),
205
       .app_af_addr       (app_af_addr),
206
       .app_wdf_wren      (app_wdf_wren),
207
       .app_wdf_data      (app_wdf_data),
208
       .app_wdf_mask_data (app_wdf_mask_data)
209
       );
210
 
211
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.