OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [gpib_helper/] [Clk2x.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3
--
4
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17 3 Andrewski
-- Entity: Clk2x
18
-- Date:2012-02-02  
19 13 Andrewski
-- Author: Andrzej Paluch
20 3 Andrewski
--
21
-- Description ${cursor}
22
--------------------------------------------------------------------------------
23
library ieee;
24
use ieee.std_logic_1164.all;
25
use ieee.std_logic_unsigned.all;
26
 
27
library UNISIM;
28
use UNISIM.VComponents.all;
29
 
30
entity Clk2x is
31
        port  (
32
                reset: in std_logic;
33
                clk : in std_logic;
34
                clk2x : out std_logic
35
        );
36
end Clk2x;
37
 
38
architecture arch of Clk2x is
39
        signal GND_BIT, CLKFX_BUF : STD_LOGIC;
40
        signal STATUS : std_logic_vector(7 downto 0);
41
begin
42
 
43
        GND_BIT <= '0';
44
        clk2x <= CLKFX_BUF;
45
 
46
        DCM_INST : DCM
47
                generic map(
48
                        CLKDV_DIVIDE => 2.0,
49
                        CLKFX_DIVIDE => 1,
50
                        CLKFX_MULTIPLY => 2,
51
                        CLKIN_DIVIDE_BY_2 => false,
52
                        CLKIN_PERIOD => 20.0,
53
                        CLKOUT_PHASE_SHIFT => "NONE",
54
                        CLK_FEEDBACK => "NONE",
55
                        DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
56
                        DFS_FREQUENCY_MODE => "LOW",
57
                        DLL_FREQUENCY_MODE => "LOW",
58
                        DUTY_CYCLE_CORRECTION => TRUE,
59
                        FACTORY_JF => x"C080",
60
                        PHASE_SHIFT => 0,
61
                        STARTUP_WAIT => FALSE
62
                )
63
                port map (CLKFB=>open,
64
                        CLKIN=>clk,
65
                        DSSEN=>GND_BIT,
66
                        PSCLK=>GND_BIT,
67
                        PSEN=>GND_BIT,
68
                        PSINCDEC=>GND_BIT,
69
                        RST=>reset,
70
                        CLKDV=>open,
71
                        CLKFX=>CLKFX_BUF,
72
                        CLKFX180=>open,
73
                        CLK0=>open,
74
                        CLK2X=>open,
75
                        CLK2X180=>open,
76
                        CLK90=>open,
77
                        CLK180=>open,
78
                        CLK270=>open,
79
                        LOCKED=>open,
80
                        PSDONE=>open,
81
                        STATUS(7 downto 0)=>STATUS
82
                );
83
 
84
end arch;
85
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.