OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [gpib_helper/] [GpibSynchronizer.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3
--
4
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17 3 Andrewski
-- Entity: GpibSynchronizer
18
-- Date:2012-02-06  
19 13 Andrewski
-- Author: Andrzej Paluch
20 3 Andrewski
--
21
-- Description ${cursor}
22
--------------------------------------------------------------------------------
23
library ieee;
24
use ieee.std_logic_1164.all;
25
use ieee.std_logic_unsigned.all;
26
 
27
 
28
entity GpibSynchronizer is
29
        port (
30
                -- clk
31
                clk : std_logic;
32
                -- DIO
33
                DI : in std_logic_vector (7 downto 0);
34
                DO : out std_logic_vector (7 downto 0);
35
                -- attention
36
                ATN_in : in std_logic;
37
                ATN_out : out std_logic;
38
                -- data valid
39
                DAV_in : in std_logic;
40
                DAV_out : out std_logic;
41
                -- not ready for data
42
                NRFD_in : in std_logic;
43
                NRFD_out : out std_logic;
44
                -- no data accepted
45
                NDAC_in : in std_logic;
46
                NDAC_out : out std_logic;
47
                -- end or identify
48
                EOI_in : in std_logic;
49
                EOI_out : out std_logic;
50
                -- service request
51
                SRQ_in : in std_logic;
52
                SRQ_out : out std_logic;
53
                -- interface clear
54
                IFC_in : in std_logic;
55
                IFC_out : out std_logic;
56
                -- remote enable
57
                REN_in : in std_logic;
58
                REN_out : out std_logic
59
        );
60
end GpibSynchronizer;
61
 
62
architecture arch of GpibSynchronizer is
63
 
64
begin
65
 
66
        process(clk) begin
67
                if rising_edge(clk) then
68
 
69
                        DO <= DI;
70
 
71
                        ATN_out <= ATN_in;
72
 
73
                        DAV_out <= DAV_in;
74
 
75
                        NRFD_out <= NRFD_in;
76
 
77
                        NDAC_out <= NDAC_in;
78
 
79
                        EOI_out <= EOI_in;
80
 
81
                        SRQ_out <= SRQ_in;
82
 
83
                        IFC_out <= IFC_in;
84
 
85
                        REN_out <= REN_in;
86
                end if;
87
        end process;
88
 
89
end arch;
90
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.