OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [gpib_helper/] [SinglePulseGenerator.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3
--
4
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17 3 Andrewski
-- Entity: SinglePulseGenerator
18
-- Date:2011-11-10  
19 13 Andrewski
-- Author: Andrzej Paluch
20 3 Andrewski
--
21
-- Description ${cursor}
22
--------------------------------------------------------------------------------
23
library ieee;
24
use ieee.std_logic_1164.all;
25
use ieee.std_logic_unsigned.all;
26
 
27
use work.utilPkg.all;
28
 
29
 
30
entity SinglePulseGenerator is
31
        generic (
32
                WIDTH : integer := 3
33
        );
34
 
35
        port (
36
                reset : in std_logic;
37
                clk : in std_logic;
38
                t_in: in std_logic;
39
                t_out : out std_logic;
40
                pulse : out std_logic
41
        );
42
end SinglePulseGenerator;
43
 
44
architecture arch of SinglePulseGenerator is
45
 
46
        signal rcount : integer range 0 to WIDTH;
47
        signal i_t_out : std_logic;
48
 
49
begin
50
 
51
        pulse <= to_stdl(t_in /= i_t_out);
52
        t_out <= i_t_out;
53
 
54
        -- buffer reset generator
55
        process (reset, clk, t_in) begin
56
                if reset = '1' then
57
                        i_t_out <= t_in;
58
                        rcount <= 0;
59
                elsif rising_edge(clk) then
60
                        if t_in /= i_t_out then
61
                                rcount <= rcount + 1;
62
 
63
                                if rcount = WIDTH then
64
                                        rcount <= 0;
65
                                        i_t_out <= t_in;
66
                                end if;
67
                        end if;
68
                end if;
69
        end process;
70
 
71
end arch;
72
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.