OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [wrapper/] [gpibBusReg.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3
--
4
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17 3 Andrewski
-- Entity: gpibBusReg
18
-- Date:2011-11-13  
19 13 Andrewski
-- Author: Andrzej Paluch
20 3 Andrewski
--
21
-- Description ${cursor}
22
--------------------------------------------------------------------------------
23
library ieee;
24
use ieee.std_logic_1164.all;
25
use ieee.std_logic_unsigned.all;
26
 
27
 
28
library ieee;
29
use ieee.std_logic_1164.all;
30
use ieee.std_logic_unsigned.all;
31
 
32
entity gpibBusReg is
33
        port (
34
                data_out : out std_logic_vector (15 downto 0);
35
                ------------------------------------------------
36
                -- interface signals
37
                DIO : in std_logic_vector (7 downto 0);
38
                -- attention
39
                ATN : in std_logic;
40
                -- data valid
41
                DAV : in std_logic;
42
                -- not ready for data
43
                NRFD : in std_logic;
44
                -- no data accepted
45
                NDAC : in std_logic;
46
                -- end or identify
47
                EOI : in std_logic;
48
                -- service request
49
                SRQ : in std_logic;
50
                -- interface clear
51
                IFC : in std_logic;
52
                -- remote enable
53
                REN : in std_logic
54
        );
55
end gpibBusReg;
56
 
57
architecture arch of gpibBusReg is
58
 
59
begin
60
 
61
        data_out(7 downto 0) <= DIO;
62
        data_out(8) <= ATN;
63
        data_out(9) <= DAV;
64
        data_out(10) <= NRFD;
65
        data_out(11) <= NDAC;
66
        data_out(12) <= EOI;
67
        data_out(13) <= SRQ;
68
        data_out(14) <= IFC;
69
        data_out(15) <= REN;
70
 
71
end arch;
72
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.