OpenCores
URL https://opencores.org/ocsvn/graphicallcd/graphicallcd/trunk

Subversion Repositories graphicallcd

[/] [graphicallcd/] [web_uploads/] [index.shtml] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 root
<html><head>
2
    <meta content="cores, VHDL, Verilog HDL, ASIC, Synthesizable,
3
      standard cell, IP, Intellectual Property, 32-bit RISC, UART, PCI, SDRAM,
4
      full custom, system on a chip, SOC, reusable, design, development, synthesis,
5
      designs, developers, C, Linux, eCos, open, free, open source cores, RTL code,
6
      system-on-a-chip, circuits, digital, GNU, GPL, core, controller, processor,
7
      system design, chip design, EDA, design methodology, design tools, ASICs, programmable logic,
8
      FPGA's, PLDs, CPLDs, verification, Synthesis, HDL, Simulation, IC design software,
9
      semiconductor design, integrated circuits, system designs, chip designs, EDAs,
10
      design methodologies, design tool, ASIC, programmable logics, FPGA, PLD, CPLD, Synthesis,
11
      circuit, Synopsys, system design, chip design, programmable logic, FPGA's, PLDs,
12
      CPLDs, verification, Simulation" name="keywords">
13
      <meta content="OPENCORES.ORG endorses development and hosts
14
      a repository of free, open-source cores (chip designs) and supplemental
15
      platforms (boards)." name="description">
16
 
17
 
18
 
19
    <style type="text/css">
20
      BODY {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
21
      P {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
22
      DIV {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
23
      TD {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
24
      TR {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
25
      FORM {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
26
      OL {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
27
      UL {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
28
      LI {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
29
      B {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
30
      I {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
31
      U {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
32
      INPUT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
33
      TEXTAREA {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
34
      SELECT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
35
      A {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
36
      A:hover {color:"#ff3300";}
37
      FONT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
38
      .email {color: "#000088"}
39
    </style><title>OPENCORES</title></head>
40
 
41
  <body marginwidth="0" marginheight="0" topmargin="0" leftmargin="0" alink="#ff0000" vlink="#444444" link="#000088" text="#000000" bgcolor="#ffffff">
42
 
43
 
44
 
45
  <table valign="top" width="100%" cellspacing="0" cellpadding="0" border="0" align="center">
46
    <tbody>
47
      <tr bgcolor="#ffffff">
48
        <td align="right" width="95" nowrap="nowrap">
49
          <a href="http://www.opencores.org/"><img alt="Home" border="0" src="index.shtml.content/title_logo.gif"></a>
50
        </td>
51
        <td align="center">
52
          <center>
53
          <table valign="center" cellspacing="0" cellpadding="8" border="0"><tbody><tr><td width="10">
54
            <font size="+3"><b>OPENCORES.ORG</b>
55
          </font></td></tr></tbody></table>
56
          </center>
57
        </td>
58
        <td align="right" width="95" nowrap="nowrap">
59
            
60
        </td>
61
      </tr>
62
      <tr><td colspan="3" height="1" bgcolor="#000000"><img width="1" src="index.shtml.content/dotty.gif" height="1" alt=""></td></tr>
63
    </tbody>
64
  </table>
65
 
66
  <table valign="top" width="100%" cellspacing="0" cellpadding="0" border="0" align="center">
67
    <tbody><tr bgcolor="#bbccff">
68
      <td valign="center">
69
        <table valign="top" width="100%" cellspacing="0" cellpadding="2" border="0">
70
        <tbody><tr>
71
          <td width="10"></td>
72
          <td valign="center" align="center">
73
            <a target="_top" href="http://www.opencores.org/mission.shtml"><font color="#000000">Mission</font></a>
74
            | <a target="_top" href="http://www.opencores.org/faq.shtml"><font color="#000000">FAQ</font></a>
75
            | <a target="_top" href="http://www.opencores.org/projects/"><font color="#000000">Projects</font></a>
76
            | <a target="_top" href="http://www.opencores.org/cvs.shtml"><font color="#000000">CVS</font></a>
77
            | <a target="_top" href="http://www.opencores.org/mailinglists.shtml"><font color="#000000">Mailing lists</font></a>
78
            | <a target="_top" href="http://www.opencores.org/media.shtml"><font color="#000000">Media</font></a>
79
            | <a target="_top" href="http://www.opencores.org/tools.shtml"><font color="#000000">Tools</font></a>
80
            | <a target="_top" href="http://www.opencores.org/wishbone/"><font color="#000000">Wishbone</font></a>
81
            | <a target="_top" href="http://www.opencores.org/OIPC/"><font color="#000000">OpenIPCore</font></a>
82
          </td>
83
 
84
          <td width="10"></td><form action="http://www.opencores.org/search.shtml"></form>
85
          <td valign="center" align="right">
86
            Search <font size="-1"><input size="12" name="words" type="query"></font>
87
          </td><td><font size="-3">|</font></td>
88
        </tr></tbody></table>
89
      </td></tr>
90
      <tr><td height="1" bgcolor="#000000"><img width="1" src="index.shtml.content/dotty.gif" height="1" alt=""></td></tr>
91
    </tbody></table>
92
 
93
 
94
 
95
    <table valign="TOP" width="100%" cellspacing="0" cellpadding="0" border="0" align="center">
96
      <tbody><tr>
97
        <td bgcolor="#f0f0f0" width="150" valign="top">
98
          <table width="100%" valign="TOP" cellspacing="0" cellpadding="3" border="0">
99
            <tbody><tr>
100
              <td width="5"><font size="-2"> </font></td>
101
              <td valign="top" width="100%">
102
 
103
                    <b>Projects</b>
104
    <table border="0" cellpadding="0" cellspacing="0">
105
    <tbody><tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/projects/">Browse</a></td></tr>
106
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/projects/?request=form">Start new one</a></td></tr>
107
    <tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/cvsweb.shtml/">CVSWeb</a></td></tr>
108
    <tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/wishlist/">Wishlist</a></td></tr>
109
    </tbody></table>
110
 
111
    <p>
112
 
113
    <b>Archives</b>
114
    <table border="0" cellpadding="0" cellspacing="0">
115
    <tbody><tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/articles">Articles</a></td></tr>
116
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/news">News</a></td></tr>
117
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/forums">Forums</a></td></tr>
118
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/poll_archive">Polls</a></td></tr>
119
    </tbody></table>
120
 
121
    </p><p>
122
 
123
    <b>Write</b>
124
    <table border="0" cellpadding="0" cellspacing="0">
125
    <tbody><tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/articles?cmd=write_article_screen">Article</a></td></tr>
126
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/news?cmd=add_news_screen">News</a></td></tr>
127
    </tbody></table>
128
 
129
    </p><p>
130
 
131
    <b>People</b>
132
    <table border="0" cellpadding="0" cellspacing="0">
133
    <tbody><tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/people?cmd=login_screen">Login to OC</a></td></tr>
134
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/people?cmd=lost_password_screen">Lost password?</a></td></tr>
135
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/people">Accounts</a></td></tr>
136
    </tbody></table>
137
 
138
 
139
                </p><p><b>More</b>
140
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/cvsmodule.shtml">CVSget</a></td></tr></tbody></table>
141
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/perlilog/">Perlilog</a></td></tr></tbody></table>
142
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/mirrors.shtml">Mirrors</a></td></tr></tbody></table>
143
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/sponsors.shtml">Sponsors</a></td></tr></tbody></table>
144
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/logos/">Logos</a></td></tr></tbody></table>
145
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/people?request=form">Get account</a></td></tr></tbody></table>
146
 
147
 
148
                </p><p><b>OpenIPCore</b>
149
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/projects/OpenTech/">OpenTech</a></td></tr></tbody></table>
150
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/goals.shtml">Goals</a></td></tr></tbody></table>
151
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/def.shtml">Definition</a></td></tr></tbody></table>
152
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/why.shtml">Why</a></td></tr></tbody></table>
153
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/problem.shtml">Problems</a></td></tr></tbody></table>
154
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/business.shtml">Business</a></td></tr></tbody></table>
155
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/lic.shtml">Protection</a></td></tr></tbody></table>
156
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/OIPC/road.shtml">Road map</a></td></tr></tbody></table>
157
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/OIPC/flow.shtml">Design flow</a></td></tr></tbody></table>
158
 
159
 
160
                </p><p>
161
 
162
 
163
 
164
                </p><p><br></p><p><br>
165
              </p></td>
166
              <td width="10"> </td>
167
            </tr>
168
          </tbody></table>
169
        </td>
170
        <td valign="top" height="1" bgcolor="#000000"><img width="1" src="index.shtml.content/dotty.gif" height="1" alt=""></td>
171
        <td valign="top" bgcolor="#ffffff" align="left">
172
          <table width="100%" valign="TOP" cellspacing="0" cellpadding="5" border="0">
173
            <tbody><tr>
174
              <td width="10"> </td>
175
              <td valign="top" width="100%">
176
 
177
<!-- BODY -->
178
 
179
 
180
 
181
 
182
 
183
 
184
 
185
 
186
    <table cellspacing="0" border="0" cellpadding="4" bgcolor="#f0f0f0" width="100%">
187
    <tbody><tr><td align="right">
188
 
189
 
190
            <a href="http://www.opencores.org/projects/graphicallcd/admin">Admin</a>
191
 
192
 
193
            |
194
 
195
 
196
 
197
            Overview
198
 
199
 
200
            |
201
 
202
 
203
 
204
            <a href="http://www.opencores.org/projects/graphicallcd/Wishlist">Wishlist</a>
205
 
206
 
207
 
208
    </td></tr></tbody></table><p>
209
 
210
 
211
 
212
 
213
<b><font color="#bf0000" size="+2">Project: Graphical LCD interfaces</font></b></p><p><b><font size="+1">Details</font></b> </p><p>Category: <a href="http://www.opencores.org/projects?category=video">Video controller</a><br>Last updated: 4/1/2004<br>Created: 16/12/2003<br>Wishbone compliant core: No<br>Stage: Planning<br>Mailing list: <a href="http://www.opencores.org/forums/cores">Cores</a></p><p><b><font size="+1">Description</font></b> <a href="http://www.opencores.org/projects/graphicallcd/admin?edit_used_block=txt&amp;page=Overview&amp;used_block=description">
214
          <img alt="Click here to edit this block" border="0" src="index.shtml.content/edit.gif"></a></p><p></p><p><b><font size="+1">Features</font></b> <a href="http://www.opencores.org/projects/graphicallcd/admin?edit_used_block=txt&amp;page=Overview&amp;used_block=features">
215
          <img alt="Click here to edit this block" border="0" src="index.shtml.content/edit.gif"></a></p><p></p><ul><li>feature1</li><li>feature2</li></ul><p><b><font size="+1">Status</font></b> <a href="http://www.opencores.org/projects/graphicallcd/admin?edit_used_block=txt&amp;page=Overview&amp;used_block=status">
216
          <img alt="Click here to edit this block" border="0" src="index.shtml.content/edit.gif"></a></p><p></p><ul><li>...</li><li>...</li></ul><p><b><font size="+1">Project news</font></b> <a href="http://www.opencores.org/projects/graphicallcd/admin?edit_used_block=txt&amp;page=index.shtml&amp;used_block=news">
217
          <img alt="Click here to edit this block" border="0" src="index.shtml.content/edit.gif"></a></p><p><table width="100%" bgcolor="#000000" cellspacing="0" cellpadding="0" border="0"><tbody><tr><td><table width="100%" cellspacing="1" cellpadding="3" border="0"><tbody><tr bgcolor="#c0e0ff"><td valign="top"><b>Date</b></td><td valign="top"><b>News</b></td></tr><tr bgcolor="#ffffff"><td valign="top">16/12/2003</td><td valign="top">Project started<br></td></tr></tbody></table></td></tr></tbody></table></p><p><b><font size="+1">Maintainers</font></b> <a href="http://www.opencores.org/projects/graphicallcd/admin?edit_used_block=txt&amp;page=index.shtml&amp;used_block=maintainers">
218
          <img alt="Click here to edit this block" border="0" src="index.shtml.content/edit.gif"></a></p><p></p><ul><li><a href="http://www.opencores.org/people/maihde">Michael Ihde</a></li></ul><p>
219
 
220
 
221
 
222
 
223
 
224
 
225
 
226
<!-- /BODY -->
227
             <img width="400" height="1" src="index.shtml.content/dotty.gif">
228
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
229
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
230
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
231
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
232
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
233
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
234
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
235
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
236
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
237
 
238
               </p><p><br>
239
              </p></td>
240
              <td width="10"> </td>
241
            </tr>
242
          </tbody></table>
243
        </td>
244
      </tr>
245
      <tr>
246
        <td bgcolor="#f0f0f0" width="150" valign="top">
247
          <center>
248
          <table border="0" cellpadding="6" cellspacing="0"><tbody><tr><td><a href="http://www.opencores.org/forums/post?to=1b5dbe2b2026ae49ee163366fae4d693">webmaster</a></td></tr></tbody></table>
249
        </center></td>
250
        <td valign="bottom" height="1" bgcolor="#000000"><img width="1" src="index.shtml.content/dotty.gif" height="1" alt=""></td>
251
        <td valign="top" bgcolor="#ffffff" align="right">
252
           
253
        </td>
254
      </tr>
255
    </tbody></table>
256
 
257
  <table width="100%" valign="TOP" cellspacing="0" cellpadding="0" border="0">
258
    <tbody><tr>
259
      <td height="1" bgcolor="#000000"><img width="1" src="index.shtml.content/dotty.gif" height="1" alt=""></td>
260
    </tr>
261
    <tr>
262
      <td>
263
        <center>
264
        <table border="0" cellpadding="4" cellspacing="0"><tbody><tr><td><center>Administrative contact: <a href="http://www.opencores.org/forums/post?to=2ee116c5a2d2e9ecceb4351ce864dc7b">administration@opencores.org</a></center></td></tr><tr><td>Copyright ©1999-2003 OPENCORES.ORG. All rights reserved.</td></tr></tbody></table>
265
      </center></td>
266
    </tr>
267
  </tbody></table>
268
 
269
</body></html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.