OpenCores
URL https://opencores.org/ocsvn/hf-risc/hf-risc/trunk

Subversion Repositories hf-risc

[/] [hf-risc/] [trunk/] [hf-risc/] [platform/] [spartan3e_nexys2/] [spartan3e_nexys2.ucf] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 serginhofr
NET "clk_in" LOC = "B8";
2 15 serginhofr
NET "clk_in" TNM_NET = "clk_in";
3
TIMESPEC TS_clk_in = PERIOD "clk_in" 20 ns;
4 13 serginhofr
NET "reset_in" LOC = "H13";
5
 
6
NET "int_in" LOC = "B18";
7
 
8
NET "uart_write" LOC = "P9";
9
NET "uart_read" LOC = "U6";
10
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.