OpenCores
URL https://opencores.org/ocsvn/hpdmc/hpdmc/trunk

Subversion Repositories hpdmc

[/] [hpdmc/] [trunk/] [hpdmc_ddr32/] [test/] [iobuf.v] - Blame information for rev 21

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 lekernel
///////////////////////////////////////////////////////////////////////////////
2
// Copyright (c) 1995/2004 Xilinx, Inc.
3
// All Right Reserved.
4
///////////////////////////////////////////////////////////////////////////////
5
// Modified for HPDMC simulation, based on Xilinx 04/22/09 revision
6
///////////////////////////////////////////////////////////////////////////////
7
 
8
`timescale  1 ps / 1 ps
9
 
10
 
11
module IOBUF (O, IO, I, T);
12
 
13
    parameter CAPACITANCE = "DONT_CARE";
14
    parameter integer DRIVE = 12;
15
    parameter IBUF_DELAY_VALUE = "0";
16
    parameter IBUF_LOW_PWR = "TRUE";
17
    parameter IFD_DELAY_VALUE = "AUTO";
18
    parameter IOSTANDARD = "DEFAULT";
19
    parameter SLEW = "SLOW";
20
 
21
    output O;
22
    inout  IO;
23
    input  I, T;
24
 
25
    bufif0 T1 (IO, I, T);
26
 
27
    buf B1 (O, IO);
28
 
29
    initial begin
30
 
31
        case (CAPACITANCE)
32
 
33
            "LOW", "NORMAL", "DONT_CARE" : ;
34
            default : begin
35
                          $display("Attribute Syntax Error : The attribute CAPACITANCE on IOBUF instance %m is set to %s.  Legal values for this attribute are DONT_CARE, LOW or NORMAL.", CAPACITANCE);
36
                          $finish;
37
                      end
38
 
39
        endcase
40
 
41
        case (IBUF_DELAY_VALUE)
42
 
43
            "0", "1", "2", "3", "4", "5", "6", "7", "8", "9", "10", "11", "12", "13", "14", "15", "16" : ;
44
            default : begin
45
                          $display("Attribute Syntax Error : The attribute IBUF_DELAY_VALUE on IOBUF instance %m is set to %s.  Legal values for this attribute are 0, 1, 2, ... or 16.", IBUF_DELAY_VALUE);
46
                          $finish;
47
                      end
48
 
49
        endcase
50
 
51
        case (IBUF_LOW_PWR)
52
 
53
            "FALSE", "TRUE" : ;
54
            default : begin
55
                          $display("Attribute Syntax Error : The attribute IBUF_LOW_PWR on IBUF instance %m is set to %s.  Legal values for this attribute are TRUE or FALSE.", IBUF_LOW_PWR);
56
                          $finish;
57
                      end
58
 
59
        endcase
60
 
61
        case (IFD_DELAY_VALUE)
62
 
63
            "AUTO", "0", "1", "2", "3", "4", "5", "6", "7", "8" : ;
64
            default : begin
65
                          $display("Attribute Syntax Error : The attribute IFD_DELAY_VALUE on IOBUF instance %m is set to %s.  Legal values for this attribute are AUTO, 0, 1, 2, ... or 8.", IFD_DELAY_VALUE);
66
                          $finish;
67
                      end
68
 
69
        endcase
70
 
71
    end // initial begin
72
 
73
endmodule
74
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.