OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [tags/] [asyst_3/] [rtl/] [verilog/] [i2c_master_top.v] - Blame information for rev 69

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 rherveille
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3 27 rherveille
////  WISHBONE revB.2 compliant I2C Master controller Top-level  ////
4 14 rherveille
////                                                             ////
5
////                                                             ////
6
////  Author: Richard Herveille                                  ////
7
////          richard@asics.ws                                   ////
8
////          www.asics.ws                                       ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/projects/i2c/    ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2001 Richard Herveille                        ////
15
////                    richard@asics.ws                         ////
16
////                                                             ////
17
//// This source file may be used and distributed without        ////
18
//// restriction provided that this copyright statement is not   ////
19
//// removed from the file and that any derivative work contains ////
20
//// the original copyright notice and the associated disclaimer.////
21
////                                                             ////
22
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
23
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
24
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
25
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
26
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
27
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
28
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
29
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
30
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
31
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
32
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
33
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
34
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
35
////                                                             ////
36
/////////////////////////////////////////////////////////////////////
37
 
38
//  CVS Log
39 10 rherveille
//
40 40 rherveille
//  $Id: i2c_master_top.v,v 1.10 2003-09-01 10:34:38 rherveille Exp $
41 10 rherveille
//
42 40 rherveille
//  $Date: 2003-09-01 10:34:38 $
43
//  $Revision: 1.10 $
44 14 rherveille
//  $Author: rherveille $
45
//  $Locker:  $
46
//  $State: Exp $
47 10 rherveille
//
48 14 rherveille
// Change History:
49
//               $Log: not supported by cvs2svn $
50 40 rherveille
//               Revision 1.9  2003/01/09 16:44:45  rherveille
51
//               Fixed a bug in the Command Register declaration.
52
//
53 33 rherveille
//               Revision 1.8  2002/12/26 16:05:12  rherveille
54
//               Small code simplifications
55
//
56 30 rherveille
//               Revision 1.7  2002/12/26 15:02:32  rherveille
57
//               Core is now a Multimaster I2C controller
58
//
59 29 rherveille
//               Revision 1.6  2002/11/30 22:24:40  rherveille
60
//               Cleaned up code
61
//
62 27 rherveille
//               Revision 1.5  2001/11/10 10:52:55  rherveille
63
//               Changed PRER reset value from 0x0000 to 0xffff, conform specs.
64
//
65 10 rherveille
 
66 27 rherveille
// synopsys translate_off
67 10 rherveille
`include "timescale.v"
68 27 rherveille
// synopsys translate_on
69
 
70 10 rherveille
`include "i2c_master_defines.v"
71
 
72
module i2c_master_top(
73 29 rherveille
        wb_clk_i, wb_rst_i, arst_i, wb_adr_i, wb_dat_i, wb_dat_o,
74 10 rherveille
        wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_inta_o,
75
        scl_pad_i, scl_pad_o, scl_padoen_o, sda_pad_i, sda_pad_o, sda_padoen_o );
76
 
77 11 rherveille
        // parameters
78
        parameter ARST_LVL = 1'b0; // asynchronous reset level
79
 
80 10 rherveille
        //
81
        // inputs & outputs
82
        //
83
 
84
        // wishbone signals
85
        input        wb_clk_i;     // master clock input
86
        input        wb_rst_i;     // synchronous active high reset
87
        input        arst_i;       // asynchronous reset
88
        input  [2:0] wb_adr_i;     // lower address bits
89
        input  [7:0] wb_dat_i;     // databus input
90
        output [7:0] wb_dat_o;     // databus output
91
        input        wb_we_i;      // write enable input
92
        input        wb_stb_i;     // stobe/core select signal
93
        input        wb_cyc_i;     // valid bus cycle input
94
        output       wb_ack_o;     // bus cycle acknowledge output
95
        output       wb_inta_o;    // interrupt request signal output
96 27 rherveille
 
97
        reg [7:0] wb_dat_o;
98
        reg wb_ack_o;
99 10 rherveille
        reg wb_inta_o;
100
 
101
        // I2C signals
102
        // i2c clock line
103
        input  scl_pad_i;       // SCL-line input
104
        output scl_pad_o;       // SCL-line output (always 1'b0)
105
        output scl_padoen_o;    // SCL-line output enable (active low)
106 27 rherveille
 
107 10 rherveille
        // i2c data line
108
        input  sda_pad_i;       // SDA-line input
109
        output sda_pad_o;       // SDA-line output (always 1'b0)
110
        output sda_padoen_o;    // SDA-line output enable (active low)
111
 
112
 
113
        //
114
        // variable declarations
115
        //
116
 
117
        // registers
118
        reg  [15:0] prer; // clock prescale register
119
        reg  [ 7:0] ctr;  // control register
120
        reg  [ 7:0] txr;  // transmit register
121
        wire [ 7:0] rxr;  // receive register
122
        reg  [ 7:0] cr;   // command register
123
        wire [ 7:0] sr;   // status register
124
 
125
        // done signal: command completed, clear command register
126
        wire done;
127
 
128
        // core enable signal
129
        wire core_en;
130 13 rherveille
        wire ien;
131 10 rherveille
 
132
        // status register signals
133
        wire irxack;
134
        reg  rxack;       // received aknowledge from slave
135
        reg  tip;         // transfer in progress
136
        reg  irq_flag;    // interrupt pending flag
137
        wire i2c_busy;    // bus busy (start signal detected)
138 29 rherveille
        wire i2c_al;      // i2c bus arbitration lost
139
        reg  al;          // status register arbitration lost bit
140 10 rherveille
 
141
        //
142
        // module body
143
        //
144
 
145
        // generate internal reset
146 11 rherveille
        wire rst_i = arst_i ^ ARST_LVL;
147 27 rherveille
 
148 29 rherveille
        // generate wishbone signals
149
        wire wb_wacc = wb_cyc_i & wb_stb_i & wb_we_i;
150
 
151 10 rherveille
        // generate acknowledge output signal
152 27 rherveille
        always @(posedge wb_clk_i)
153
          wb_ack_o <= #1 wb_cyc_i & wb_stb_i & ~wb_ack_o; // because timing is always honored
154 10 rherveille
 
155
        // assign DAT_O
156 27 rherveille
        always @(posedge wb_clk_i)
157 10 rherveille
        begin
158 27 rherveille
          case (wb_adr_i) // synopsis full_case parallel_case
159 40 rherveille
            3'b000: wb_dat_o <= #1 prer[ 7:0];
160
            3'b001: wb_dat_o <= #1 prer[15:8];
161
            3'b010: wb_dat_o <= #1 ctr;
162
            3'b011: wb_dat_o <= #1 rxr; // write is transmit register (txr)
163
            3'b100: wb_dat_o <= #1 sr;  // write is command register (cr)
164
            3'b101: wb_dat_o <= #1 txr;
165
            3'b110: wb_dat_o <= #1 cr;
166
            3'b111: wb_dat_o <= #1 0;   // reserved
167 27 rherveille
          endcase
168 10 rherveille
        end
169
 
170
        // generate registers
171 27 rherveille
        always @(posedge wb_clk_i or negedge rst_i)
172
          if (!rst_i)
173
            begin
174
                prer <= #1 16'hffff;
175
                ctr  <= #1  8'h0;
176
                txr  <= #1  8'h0;
177
            end
178
          else if (wb_rst_i)
179
            begin
180
                prer <= #1 16'hffff;
181
                ctr  <= #1  8'h0;
182
                txr  <= #1  8'h0;
183
            end
184
          else
185 29 rherveille
            if (wb_wacc)
186
              case (wb_adr_i) // synopsis full_case parallel_case
187
                 3'b000 : prer [ 7:0] <= #1 wb_dat_i;
188
                 3'b001 : prer [15:8] <= #1 wb_dat_i;
189
                 3'b010 : ctr         <= #1 wb_dat_i;
190
                 3'b011 : txr         <= #1 wb_dat_i;
191
              endcase
192 10 rherveille
 
193 29 rherveille
        // generate command register (special case)
194
        always @(posedge wb_clk_i or negedge rst_i)
195
          if (~rst_i)
196
            cr <= #1 8'h0;
197
          else if (wb_rst_i)
198
            cr <= #1 8'h0;
199
          else if (wb_wacc)
200
            begin
201
                if (core_en & (wb_adr_i == 3'b100) )
202
                  cr <= #1 wb_dat_i;
203
            end
204
          else
205
            begin
206
                if (done | i2c_al)
207
                  cr[7:4] <= #1 4'h0;           // clear command bits when done
208
                                                // or when aribitration lost
209
                cr[2:1] <= #1 2'b0;             // reserved bits
210 30 rherveille
                cr[0]   <= #1 2'b0;             // clear IRQ_ACK bit
211 29 rherveille
            end
212 10 rherveille
 
213
 
214
        // decode command register
215
        wire sta  = cr[7];
216
        wire sto  = cr[6];
217
        wire rd   = cr[5];
218
        wire wr   = cr[4];
219
        wire ack  = cr[3];
220
        wire iack = cr[0];
221
 
222
        // decode control register
223
        assign core_en = ctr[7];
224 13 rherveille
        assign ien = ctr[6];
225 10 rherveille
 
226
        // hookup byte controller block
227
        i2c_master_byte_ctrl byte_controller (
228 27 rherveille
                .clk      ( wb_clk_i     ),
229
                .rst      ( wb_rst_i     ),
230
                .nReset   ( rst_i        ),
231
                .ena      ( core_en      ),
232
                .clk_cnt  ( prer         ),
233
                .start    ( sta          ),
234
                .stop     ( sto          ),
235
                .read     ( rd           ),
236
                .write    ( wr           ),
237
                .ack_in   ( ack          ),
238
                .din      ( txr          ),
239
                .cmd_ack  ( done         ),
240
                .ack_out  ( irxack       ),
241
                .dout     ( rxr          ),
242
                .i2c_busy ( i2c_busy     ),
243 29 rherveille
                .i2c_al   ( i2c_al       ),
244 27 rherveille
                .scl_i    ( scl_pad_i    ),
245
                .scl_o    ( scl_pad_o    ),
246
                .scl_oen  ( scl_padoen_o ),
247
                .sda_i    ( sda_pad_i    ),
248
                .sda_o    ( sda_pad_o    ),
249
                .sda_oen  ( sda_padoen_o )
250 10 rherveille
        );
251
 
252
        // status register block + interrupt request signal
253 27 rherveille
        always @(posedge wb_clk_i or negedge rst_i)
254
          if (!rst_i)
255
            begin
256 29 rherveille
                al       <= #1 1'b0;
257 27 rherveille
                rxack    <= #1 1'b0;
258
                tip      <= #1 1'b0;
259
                irq_flag <= #1 1'b0;
260
            end
261
          else if (wb_rst_i)
262
            begin
263 29 rherveille
                al       <= #1 1'b0;
264 27 rherveille
                rxack    <= #1 1'b0;
265
                tip      <= #1 1'b0;
266
                irq_flag <= #1 1'b0;
267
            end
268
          else
269
            begin
270 29 rherveille
                al       <= #1 i2c_al | (al & ~sta);
271 27 rherveille
                rxack    <= #1 irxack;
272
                tip      <= #1 (rd | wr);
273 29 rherveille
                irq_flag <= #1 (done | i2c_al | irq_flag) & ~iack; // interrupt request flag is always generated
274 27 rherveille
            end
275 10 rherveille
 
276 27 rherveille
        // generate interrupt request signals
277
        always @(posedge wb_clk_i or negedge rst_i)
278
          if (!rst_i)
279
            wb_inta_o <= #1 1'b0;
280
          else if (wb_rst_i)
281
            wb_inta_o <= #1 1'b0;
282
          else
283
            wb_inta_o <= #1 irq_flag && ien; // interrupt signal is only generated when IEN (interrupt enable bit is set)
284 10 rherveille
 
285 27 rherveille
        // assign status register bits
286
        assign sr[7]   = rxack;
287
        assign sr[6]   = i2c_busy;
288 29 rherveille
        assign sr[5]   = al;
289
        assign sr[4:2] = 3'h0; // reserved
290 27 rherveille
        assign sr[1]   = tip;
291
        assign sr[0]   = irq_flag;
292 10 rherveille
 
293
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.