OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [trunk/] [rtl/] [verilog/] [i2c_master_top.v] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 rherveille
//
2
// WISHBONE revB2 compiant I2C master core
3
//
4
// author: Richard Herveille
5
// rev. 0.1 26-08-2001. Iinitial Verilog release
6
//
7
 
8
`include "timescale.v"
9
`include "i2c_master_defines.v"
10
 
11
module i2c_master_top(
12
        wb_clk_i, wb_rst_i, arst_i, wb_adr_i, wb_dat_i, wb_dat_o,
13
        wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_inta_o,
14
        scl_pad_i, scl_pad_o, scl_padoen_o, sda_pad_i, sda_pad_o, sda_padoen_o );
15
 
16
        //
17
        // inputs & outputs
18
        //
19
 
20
        // wishbone signals
21
        input        wb_clk_i;     // master clock input
22
        input        wb_rst_i;     // synchronous active high reset
23
        input        arst_i;       // asynchronous reset
24
        input  [2:0] wb_adr_i;     // lower address bits
25
        input  [7:0] wb_dat_i;     // databus input
26
        output [7:0] wb_dat_o;     // databus output
27
        reg [7:0] wb_dat_o;
28
        input        wb_we_i;      // write enable input
29
        input        wb_stb_i;     // stobe/core select signal
30
        input        wb_cyc_i;     // valid bus cycle input
31
        output       wb_ack_o;     // bus cycle acknowledge output
32
        output       wb_inta_o;    // interrupt request signal output
33
        reg wb_inta_o;
34
 
35
        // I2C signals
36
        // i2c clock line
37
        input  scl_pad_i;       // SCL-line input
38
        output scl_pad_o;       // SCL-line output (always 1'b0)
39
        output scl_padoen_o;    // SCL-line output enable (active low)
40
        // i2c data line
41
        input  sda_pad_i;       // SDA-line input
42
        output sda_pad_o;       // SDA-line output (always 1'b0)
43
        output sda_padoen_o;    // SDA-line output enable (active low)
44
 
45
 
46
        //
47
        // variable declarations
48
        //
49
 
50
        // registers
51
        reg  [15:0] prer; // clock prescale register
52
        reg  [ 7:0] ctr;  // control register
53
        reg  [ 7:0] txr;  // transmit register
54
        wire [ 7:0] rxr;  // receive register
55
        reg  [ 7:0] cr;   // command register
56
        wire [ 7:0] sr;   // status register
57
 
58
        // done signal: command completed, clear command register
59
        wire done;
60
 
61
        // core enable signal
62
        wire core_en;
63
 
64
        // status register signals
65
        wire irxack;
66
        reg  rxack;       // received aknowledge from slave
67
        reg  tip;         // transfer in progress
68
        reg  irq_flag;    // interrupt pending flag
69
        wire i2c_busy;    // bus busy (start signal detected)
70
 
71
        //
72
        // module body
73
        //
74
 
75
        // generate internal reset
76
        wire rst_i = arst_i ^ `I2C_RST_LVL;
77
 
78
        // generate acknowledge output signal
79
        assign wb_ack_o = wb_cyc_i && wb_stb_i; // because timing is always honored
80
 
81
        // assign DAT_O
82
        always@(wb_adr_i or prer or ctr or txr or cr or rxr or sr)
83
        begin
84
                case (wb_adr_i) // synopsis full_case parallel_case
85
                        3'b000: wb_dat_o = prer[ 7:0];
86
                        3'b001: wb_dat_o = prer[15:8];
87
                        3'b010: wb_dat_o = ctr;
88
                        3'b011: wb_dat_o = rxr; // write is transmit register (txr)
89
                        3'b100: wb_dat_o = sr;  // write is command register (cr)
90
                        3'b101: wb_dat_o = txr;
91
                        3'b110: wb_dat_o = cr;
92
                endcase
93
        end
94
 
95
 
96
        // generate registers
97
        always@(posedge wb_clk_i or negedge rst_i)
98
                if (!rst_i)
99
                        begin
100
                                prer <= #1 16'h0;
101
                                ctr  <= #1  8'h0;
102
                                txr  <= #1  8'h0;
103
                                cr   <= #1  8'h0;
104
                        end
105
                else if (wb_rst_i)
106
                        begin
107
                                prer <= #1 16'h0;
108
                                ctr  <= #1  8'h0;
109
                                txr  <= #1  8'h0;
110
                                cr   <= #1  8'h0;
111
                        end
112
                else
113
                        if (wb_cyc_i && wb_stb_i && wb_we_i)
114
                                begin
115
                                        if (!wb_adr_i[2])
116
                                                case (wb_adr_i[1:0]) // synopsis full_case parallel_case
117
                                                        2'b00 : prer [ 7:0] <= #1 wb_dat_i;
118
                                                        2'b01 : prer [15:8] <= #1 wb_dat_i;
119
                                                        2'b10 : ctr         <= #1 wb_dat_i;
120
                                                        2'b11 : txr         <= #1 wb_dat_i;
121
                                                endcase
122
                                        else
123
                                                if (core_en && (wb_adr_i[1:0] == 2'b00) ) // only take new commands when i2c core enabled, pending commands are finished
124
                                                        cr <= #1 wb_dat_i;
125
                                end
126
                        else
127
                                begin
128
                                        if (done)
129
                                                cr[7:4] <= #1 4'h0; // clear command bits when done
130
 
131
                                        cr[2:1] <= #1 2'b00;  // reserved bits
132
                                        cr[0]   <= #1 cr[0] && irq_flag; // clear when irq_flag cleared
133
                                end
134
 
135
 
136
        // decode command register
137
        wire sta  = cr[7];
138
        wire sto  = cr[6];
139
        wire rd   = cr[5];
140
        wire wr   = cr[4];
141
        wire ack  = cr[3];
142
        wire iack = cr[0];
143
 
144
        // decode control register
145
        assign core_en = ctr[7];
146
 
147
        // hookup byte controller block
148
        i2c_master_byte_ctrl byte_controller (
149
                .clk(wb_clk_i),
150
                .rst(wb_rst_i),
151
                .nReset(rst_i),
152
                .ena(core_en),
153
                .clk_cnt(prer),
154
                .start(sta),
155
                .stop(sto),
156
                .read(rd),
157
                .write(wr),
158
                .ack_in(ack),
159
                .din(txr),
160
                .cmd_ack(done),
161
                .ack_out(irxack),
162
                .dout(rxr),
163
                .i2c_busy(i2c_busy),
164
                .scl_i(scl_pad_i),
165
                .scl_o(scl_pad_o),
166
                .scl_oen(scl_padoen_o),
167
                .sda_i(sda_pad_i),
168
                .sda_o(sda_pad_o),
169
                .sda_oen(sda_padoen_o)
170
        );
171
 
172
 
173
        // status register block + interrupt request signal
174
        always@(posedge wb_clk_i or negedge rst_i)
175
                if (!rst_i)
176
                        begin
177
                                rxack    <= #1 1'b0;
178
                                tip      <= #1 1'b0;
179
                                irq_flag <= #1 1'b0;
180
                        end
181
                else if (wb_rst_i)
182
                        begin
183
                                rxack    <= #1 1'b0;
184
                                tip      <= #1 1'b0;
185
                                irq_flag <= #1 1'b0;
186
                        end
187
                else
188
                        begin
189
                                rxack    <= #1 irxack;
190
                                tip      <= #1 (rd || wr);
191
                                irq_flag <= #1 (done || irq_flag) && !iack; // interrupt request flag is always generated
192
                        end
193
 
194
                // generate interrupt request signals
195
                always@(posedge wb_clk_i or negedge rst_i)
196
                        if (!rst_i)
197
                                wb_inta_o <= #1 1'b0;
198
                        else if (wb_rst_i)
199
                                wb_inta_o <= #1 1'b0;
200
                        else
201
                                wb_inta_o <= #1 irq_flag && ctr[6]; // interrupt signal is only generated when IEN (interrupt enable bit is set)
202
 
203
                // assign status register bits
204
                assign sr[7]   = rxack;
205
                assign sr[6]   = i2c_busy;
206
                assign sr[5:2] = 4'h0; // reserved
207
                assign sr[1]   = tip;
208
                assign sr[0]   = irq_flag;
209
 
210
endmodule
211
 
212
 
213
 
214
 
215
 
216
 
217
 
218
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.