OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [trunk/] [rtl/] [verilog/] [i2c_master_top.v] - Blame information for rev 30

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 rherveille
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3 27 rherveille
////  WISHBONE revB.2 compliant I2C Master controller Top-level  ////
4 14 rherveille
////                                                             ////
5
////                                                             ////
6
////  Author: Richard Herveille                                  ////
7
////          richard@asics.ws                                   ////
8
////          www.asics.ws                                       ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/projects/i2c/    ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2001 Richard Herveille                        ////
15
////                    richard@asics.ws                         ////
16
////                                                             ////
17
//// This source file may be used and distributed without        ////
18
//// restriction provided that this copyright statement is not   ////
19
//// removed from the file and that any derivative work contains ////
20
//// the original copyright notice and the associated disclaimer.////
21
////                                                             ////
22
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
23
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
24
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
25
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
26
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
27
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
28
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
29
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
30
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
31
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
32
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
33
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
34
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
35
////                                                             ////
36
/////////////////////////////////////////////////////////////////////
37
 
38
//  CVS Log
39 10 rherveille
//
40 30 rherveille
//  $Id: i2c_master_top.v,v 1.8 2002-12-26 16:05:12 rherveille Exp $
41 10 rherveille
//
42 30 rherveille
//  $Date: 2002-12-26 16:05:12 $
43
//  $Revision: 1.8 $
44 14 rherveille
//  $Author: rherveille $
45
//  $Locker:  $
46
//  $State: Exp $
47 10 rherveille
//
48 14 rherveille
// Change History:
49
//               $Log: not supported by cvs2svn $
50 30 rherveille
//               Revision 1.7  2002/12/26 15:02:32  rherveille
51
//               Core is now a Multimaster I2C controller
52
//
53 29 rherveille
//               Revision 1.6  2002/11/30 22:24:40  rherveille
54
//               Cleaned up code
55
//
56 27 rherveille
//               Revision 1.5  2001/11/10 10:52:55  rherveille
57
//               Changed PRER reset value from 0x0000 to 0xffff, conform specs.
58
//
59 10 rherveille
 
60 27 rherveille
// synopsys translate_off
61 10 rherveille
`include "timescale.v"
62 27 rherveille
// synopsys translate_on
63
 
64 10 rherveille
`include "i2c_master_defines.v"
65
 
66
module i2c_master_top(
67 29 rherveille
        wb_clk_i, wb_rst_i, arst_i, wb_adr_i, wb_dat_i, wb_dat_o,
68 10 rherveille
        wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_inta_o,
69
        scl_pad_i, scl_pad_o, scl_padoen_o, sda_pad_i, sda_pad_o, sda_padoen_o );
70
 
71 11 rherveille
        // parameters
72
        parameter ARST_LVL = 1'b0; // asynchronous reset level
73
 
74 10 rherveille
        //
75
        // inputs & outputs
76
        //
77
 
78
        // wishbone signals
79
        input        wb_clk_i;     // master clock input
80
        input        wb_rst_i;     // synchronous active high reset
81
        input        arst_i;       // asynchronous reset
82
        input  [2:0] wb_adr_i;     // lower address bits
83
        input  [7:0] wb_dat_i;     // databus input
84
        output [7:0] wb_dat_o;     // databus output
85
        input        wb_we_i;      // write enable input
86
        input        wb_stb_i;     // stobe/core select signal
87
        input        wb_cyc_i;     // valid bus cycle input
88
        output       wb_ack_o;     // bus cycle acknowledge output
89
        output       wb_inta_o;    // interrupt request signal output
90 27 rherveille
 
91
        reg [7:0] wb_dat_o;
92
        reg wb_ack_o;
93 10 rherveille
        reg wb_inta_o;
94
 
95
        // I2C signals
96
        // i2c clock line
97
        input  scl_pad_i;       // SCL-line input
98
        output scl_pad_o;       // SCL-line output (always 1'b0)
99
        output scl_padoen_o;    // SCL-line output enable (active low)
100 27 rherveille
 
101 10 rherveille
        // i2c data line
102
        input  sda_pad_i;       // SDA-line input
103
        output sda_pad_o;       // SDA-line output (always 1'b0)
104
        output sda_padoen_o;    // SDA-line output enable (active low)
105
 
106
 
107
        //
108
        // variable declarations
109
        //
110
 
111
        // registers
112
        reg  [15:0] prer; // clock prescale register
113
        reg  [ 7:0] ctr;  // control register
114
        reg  [ 7:0] txr;  // transmit register
115
        wire [ 7:0] rxr;  // receive register
116
        reg  [ 7:0] cr;   // command register
117
        wire [ 7:0] sr;   // status register
118
 
119
        // done signal: command completed, clear command register
120
        wire done;
121
 
122
        // core enable signal
123
        wire core_en;
124 13 rherveille
        wire ien;
125 10 rherveille
 
126
        // status register signals
127
        wire irxack;
128
        reg  rxack;       // received aknowledge from slave
129
        reg  tip;         // transfer in progress
130
        reg  irq_flag;    // interrupt pending flag
131
        wire i2c_busy;    // bus busy (start signal detected)
132 29 rherveille
        wire i2c_al;      // i2c bus arbitration lost
133
        reg  al;          // status register arbitration lost bit
134 10 rherveille
 
135
        //
136
        // module body
137
        //
138
 
139
        // generate internal reset
140 11 rherveille
        wire rst_i = arst_i ^ ARST_LVL;
141 27 rherveille
 
142 29 rherveille
        // generate wishbone signals
143
        wire wb_wacc = wb_cyc_i & wb_stb_i & wb_we_i;
144
 
145 10 rherveille
        // generate acknowledge output signal
146 27 rherveille
        always @(posedge wb_clk_i)
147
          wb_ack_o <= #1 wb_cyc_i & wb_stb_i & ~wb_ack_o; // because timing is always honored
148 10 rherveille
 
149
        // assign DAT_O
150 27 rherveille
        always @(posedge wb_clk_i)
151 10 rherveille
        begin
152 27 rherveille
          case (wb_adr_i) // synopsis full_case parallel_case
153
            3'b000: wb_dat_o = prer[ 7:0];
154
            3'b001: wb_dat_o = prer[15:8];
155
            3'b010: wb_dat_o = ctr;
156
            3'b011: wb_dat_o = rxr; // write is transmit register (txr)
157
            3'b100: wb_dat_o = sr;  // write is command register (cr)
158
            3'b101: wb_dat_o = txr;
159
            3'b110: wb_dat_o = cr;
160
            3'b111: wb_dat_o = 0;   // reserved
161
          endcase
162 10 rherveille
        end
163
 
164
        // generate registers
165 27 rherveille
        always @(posedge wb_clk_i or negedge rst_i)
166
          if (!rst_i)
167
            begin
168
                prer <= #1 16'hffff;
169
                ctr  <= #1  8'h0;
170
                txr  <= #1  8'h0;
171
                cr   <= #1  8'h0;
172
            end
173
          else if (wb_rst_i)
174
            begin
175
                prer <= #1 16'hffff;
176
                ctr  <= #1  8'h0;
177
                txr  <= #1  8'h0;
178
                cr   <= #1  8'h0;
179
            end
180
          else
181 29 rherveille
            if (wb_wacc)
182
              case (wb_adr_i) // synopsis full_case parallel_case
183
                 3'b000 : prer [ 7:0] <= #1 wb_dat_i;
184
                 3'b001 : prer [15:8] <= #1 wb_dat_i;
185
                 3'b010 : ctr         <= #1 wb_dat_i;
186
                 3'b011 : txr         <= #1 wb_dat_i;
187
              endcase
188 10 rherveille
 
189 29 rherveille
        // generate command register (special case)
190
        always @(posedge wb_clk_i or negedge rst_i)
191
          if (~rst_i)
192
            cr <= #1 8'h0;
193
          else if (wb_rst_i)
194
            cr <= #1 8'h0;
195
          else if (wb_wacc)
196
            begin
197
                if (core_en & (wb_adr_i == 3'b100) )
198
                  cr <= #1 wb_dat_i;
199
            end
200
          else
201
            begin
202
                if (done | i2c_al)
203
                  cr[7:4] <= #1 4'h0;           // clear command bits when done
204
                                                // or when aribitration lost
205
                cr[2:1] <= #1 2'b0;             // reserved bits
206 30 rherveille
                cr[0]   <= #1 2'b0;             // clear IRQ_ACK bit
207 29 rherveille
            end
208 10 rherveille
 
209
 
210
        // decode command register
211
        wire sta  = cr[7];
212
        wire sto  = cr[6];
213
        wire rd   = cr[5];
214
        wire wr   = cr[4];
215
        wire ack  = cr[3];
216
        wire iack = cr[0];
217
 
218
        // decode control register
219
        assign core_en = ctr[7];
220 13 rherveille
        assign ien = ctr[6];
221 10 rherveille
 
222
        // hookup byte controller block
223
        i2c_master_byte_ctrl byte_controller (
224 27 rherveille
                .clk      ( wb_clk_i     ),
225
                .rst      ( wb_rst_i     ),
226
                .nReset   ( rst_i        ),
227
                .ena      ( core_en      ),
228
                .clk_cnt  ( prer         ),
229
                .start    ( sta          ),
230
                .stop     ( sto          ),
231
                .read     ( rd           ),
232
                .write    ( wr           ),
233
                .ack_in   ( ack          ),
234
                .din      ( txr          ),
235
                .cmd_ack  ( done         ),
236
                .ack_out  ( irxack       ),
237
                .dout     ( rxr          ),
238
                .i2c_busy ( i2c_busy     ),
239 29 rherveille
                .i2c_al   ( i2c_al       ),
240 27 rherveille
                .scl_i    ( scl_pad_i    ),
241
                .scl_o    ( scl_pad_o    ),
242
                .scl_oen  ( scl_padoen_o ),
243
                .sda_i    ( sda_pad_i    ),
244
                .sda_o    ( sda_pad_o    ),
245
                .sda_oen  ( sda_padoen_o )
246 10 rherveille
        );
247
 
248
        // status register block + interrupt request signal
249 27 rherveille
        always @(posedge wb_clk_i or negedge rst_i)
250
          if (!rst_i)
251
            begin
252 29 rherveille
                al       <= #1 1'b0;
253 27 rherveille
                rxack    <= #1 1'b0;
254
                tip      <= #1 1'b0;
255
                irq_flag <= #1 1'b0;
256
            end
257
          else if (wb_rst_i)
258
            begin
259 29 rherveille
                al       <= #1 1'b0;
260 27 rherveille
                rxack    <= #1 1'b0;
261
                tip      <= #1 1'b0;
262
                irq_flag <= #1 1'b0;
263
            end
264
          else
265
            begin
266 29 rherveille
                al       <= #1 i2c_al | (al & ~sta);
267 27 rherveille
                rxack    <= #1 irxack;
268
                tip      <= #1 (rd | wr);
269 29 rherveille
                irq_flag <= #1 (done | i2c_al | irq_flag) & ~iack; // interrupt request flag is always generated
270 27 rherveille
            end
271 10 rherveille
 
272 27 rherveille
        // generate interrupt request signals
273
        always @(posedge wb_clk_i or negedge rst_i)
274
          if (!rst_i)
275
            wb_inta_o <= #1 1'b0;
276
          else if (wb_rst_i)
277
            wb_inta_o <= #1 1'b0;
278
          else
279
            wb_inta_o <= #1 irq_flag && ien; // interrupt signal is only generated when IEN (interrupt enable bit is set)
280 10 rherveille
 
281 27 rherveille
        // assign status register bits
282
        assign sr[7]   = rxack;
283
        assign sr[6]   = i2c_busy;
284 29 rherveille
        assign sr[5]   = al;
285
        assign sr[4:2] = 3'h0; // reserved
286 27 rherveille
        assign sr[1]   = tip;
287
        assign sr[0]   = irq_flag;
288 10 rherveille
 
289
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.